]> git.donarmstrong.com Git - qmk_firmware.git/commitdiff
adds timeout to avr i2c
authorJack Humbert <jack.humb@gmail.com>
Wed, 13 Jun 2018 03:37:06 +0000 (23:37 -0400)
committerJack Humbert <jack.humb@gmail.com>
Wed, 13 Jun 2018 03:37:06 +0000 (23:37 -0400)
drivers/avr/i2c_master.c
drivers/avr/i2c_master.h
drivers/avr/is31fl3731.c
drivers/avr/is31fl3731.h
keyboards/ergodox_ez/config.h
quantum/quantum.c
quantum/rgb_matrix.c
quantum/rgb_matrix.h

index cd3c2e1c810fff513502c8836a8255eb78b1425c..97f69004363f62e8c67fa53fb735c192065a5197 100755 (executable)
@@ -6,6 +6,7 @@
 #include <util/twi.h>
 
 #include "i2c_master.h"
+#include "timer.h"
 
 #define F_SCL 400000UL // SCL frequency
 #define Prescaler 1
@@ -24,8 +25,18 @@ uint8_t i2c_start(uint8_t address)
        TWCR = 0;
        // transmit START condition
        TWCR = (1<<TWINT) | (1<<TWSTA) | (1<<TWEN);
-       // wait for end of transmission
-       while( !(TWCR & (1<<TWINT)) );
+
+  #ifdef I2C_TIMEOUT
+    uint16_t timeout_timer = timer_read();
+    while( !(TWCR & (1<<TWINT)) ) {
+      if ((timer_read() - timeout_timer) > I2C_TIMEOUT) {
+        return 2; // should make these codes standard
+      }
+    }
+  #else
+  // wait for end of transmission
+    while( !(TWCR & (1<<TWINT)) );
+  #endif
 
        // check if the start condition was successfully transmitted
        if(((TW_STATUS & 0xF8) != TW_START) && ((TW_STATUS & 0xF8) != TW_REP_START)){ return 1; }
@@ -34,8 +45,18 @@ uint8_t i2c_start(uint8_t address)
        TWDR = address;
        // start transmission of address
        TWCR = (1<<TWINT) | (1<<TWEN);
-       // wait for end of transmission
-       while( !(TWCR & (1<<TWINT)) );
+
+  #ifdef I2C_TIMEOUT
+    timeout_timer = timer_read();
+    while( !(TWCR & (1<<TWINT)) ) {
+      if ((timer_read() - timeout_timer) > I2C_TIMEOUT) {
+        return 2; // should make these codes standard
+      }
+    }
+  #else
+  // wait for end of transmission
+    while( !(TWCR & (1<<TWINT)) );
+  #endif
 
        // check if the device has acknowledged the READ / WRITE mode
        uint8_t twst = TW_STATUS & 0xF8;
@@ -50,8 +71,18 @@ uint8_t i2c_write(uint8_t data)
        TWDR = data;
        // start transmission of data
        TWCR = (1<<TWINT) | (1<<TWEN);
+
+  #ifdef I2C_TIMEOUT
+    uint16_t timeout_timer = timer_read();
+    while( !(TWCR & (1<<TWINT)) ) {
+      if ((timer_read() - timeout_timer) > I2C_TIMEOUT) {
+        return 2; // should make these codes standard
+      }
+    }
+  #else
        // wait for end of transmission
-       while( !(TWCR & (1<<TWINT)) );
+    while( !(TWCR & (1<<TWINT)) );
+  #endif
 
        if( (TW_STATUS & 0xF8) != TW_MT_DATA_ACK ){ return 1; }
 
@@ -63,8 +94,19 @@ uint8_t i2c_read_ack(void)
 
        // start TWI module and acknowledge data after reception
        TWCR = (1<<TWINT) | (1<<TWEN) | (1<<TWEA);
-       // wait for end of transmission
-       while( !(TWCR & (1<<TWINT)) );
+
+  #ifdef I2C_TIMEOUT
+    uint16_t timeout_timer = timer_read();
+    while( !(TWCR & (1<<TWINT)) ) {
+      if ((timer_read() - timeout_timer) > I2C_TIMEOUT) {
+        return 2; // should make these codes standard
+      }
+    }
+  #else
+  // wait for end of transmission
+    while( !(TWCR & (1<<TWINT)) );
+  #endif
+
        // return received data from TWDR
        return TWDR;
 }
@@ -74,8 +116,19 @@ uint8_t i2c_read_nack(void)
 
        // start receiving without acknowledging reception
        TWCR = (1<<TWINT) | (1<<TWEN);
-       // wait for end of transmission
-       while( !(TWCR & (1<<TWINT)) );
+
+    #ifdef I2C_TIMEOUT
+    uint16_t timeout_timer = timer_read();
+    while( !(TWCR & (1<<TWINT)) ) {
+      if ((timer_read() - timeout_timer) > I2C_TIMEOUT) {
+        return 2; // should make these codes standard
+      }
+    }
+  #else
+  // wait for end of transmission
+    while( !(TWCR & (1<<TWINT)) );
+  #endif
+
        // return received data from TWDR
        return TWDR;
 }
@@ -144,10 +197,22 @@ uint8_t i2c_readReg(uint8_t devaddr, uint8_t regaddr, uint8_t* data, uint16_t le
        return 0;
 }
 
-void i2c_stop(void)
+uint8_t i2c_stop(void)
 {
        // transmit STOP condition
        TWCR = (1<<TWINT) | (1<<TWEN) | (1<<TWSTO);
-  // wait until stop condition is executed and bus released
-  while(TWCR & (1<<TWSTO));
+
+  #ifdef I2C_TIMEOUT
+    uint16_t timeout_timer = timer_read();
+    while(TWCR & (1<<TWSTO)) {
+        if ((timer_read() - timeout_timer) > I2C_TIMEOUT) {
+        return 2; // should make these codes standard
+      }
+    }
+  #else
+    // wait for end of transmission
+    while(TWCR & (1<<TWSTO));
+  #endif
+
+  return 0;
 }
index 2479d28d525285475e8c478951b7087c5ed75ddd..868680714684316dffc108ec4570ac9bc6199a65 100755 (executable)
@@ -17,6 +17,6 @@ uint8_t i2c_transmit(uint8_t address, uint8_t* data, uint16_t length);
 uint8_t i2c_receive(uint8_t address, uint8_t* data, uint16_t length);
 uint8_t i2c_writeReg(uint8_t devaddr, uint8_t regaddr, uint8_t* data, uint16_t length);
 uint8_t i2c_readReg(uint8_t devaddr, uint8_t regaddr, uint8_t* data, uint16_t length);
-void i2c_stop(void);
+uint8_t i2c_stop(void);
 
 #endif // I2C_MASTER_H
index 13dfe6eaf615c0e6753f68897c43c269a05e512c..158b77b7b1eed4d24a620e77b8826256a7a186d5 100644 (file)
@@ -78,18 +78,19 @@ bool g_led_control_registers_update_required = false;
 // 0x10 - R16,R15,R14,R13,R12,R11,R10,R09
 
 
-void IS31FL3731_write_register( uint8_t addr, uint8_t reg, uint8_t data )
+uint8_t IS31FL3731_write_register( uint8_t addr, uint8_t reg, uint8_t data )
 {
        g_twi_transfer_buffer[0] = reg;
        g_twi_transfer_buffer[1] = data;
 
        //Transmit data until succesful
   //while(i2c_transmit(addr << 1, g_twi_transfer_buffer,2) != 0);
-  i2c_transmit(addr << 1, g_twi_transfer_buffer,2);
+  return i2c_transmit(addr << 1, g_twi_transfer_buffer,2);
 }
 
-void IS31FL3731_write_pwm_buffer( uint8_t addr, uint8_t *pwm_buffer )
+uint8_t IS31FL3731_write_pwm_buffer( uint8_t addr, uint8_t *pwm_buffer )
 {
+  uint8_t ret = 0;
        // assumes bank is already selected
 
        // transmit PWM registers in 9 transfers of 16 bytes
@@ -110,64 +111,67 @@ void IS31FL3731_write_pwm_buffer( uint8_t addr, uint8_t *pwm_buffer )
 
                //Transmit buffer until succesful
                //while(i2c_transmit(addr << 1, g_twi_transfer_buffer,17) != 0);
-    i2c_transmit(addr << 1, g_twi_transfer_buffer,17);
-
+    ret |= i2c_transmit(addr << 1, g_twi_transfer_buffer, 17);
        }
+  return ret;
 }
 
-void IS31FL3731_init( uint8_t addr )
+uint8_t IS31FL3731_init( uint8_t addr )
 {
+  uint8_t ret = 0;
        // In order to avoid the LEDs being driven with garbage data
        // in the LED driver's PWM registers, first enable software shutdown,
        // then set up the mode and other settings, clear the PWM registers,
        // then disable software shutdown.
 
        // select "function register" bank
-       IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, ISSI_BANK_FUNCTIONREG );
+       ret |= IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, ISSI_BANK_FUNCTIONREG );
 
        // enable software shutdown
-       IS31FL3731_write_register( addr, ISSI_REG_SHUTDOWN, 0x00 );
+       ret |= IS31FL3731_write_register( addr, ISSI_REG_SHUTDOWN, 0x00 );
        // this delay was copied from other drivers, might not be needed
        _delay_ms( 10 );
 
        // picture mode
-       IS31FL3731_write_register( addr, ISSI_REG_CONFIG, ISSI_REG_CONFIG_PICTUREMODE );
+       ret |= IS31FL3731_write_register( addr, ISSI_REG_CONFIG, ISSI_REG_CONFIG_PICTUREMODE );
        // display frame 0
-       IS31FL3731_write_register( addr, ISSI_REG_PICTUREFRAME, 0x00 );
+       ret |= IS31FL3731_write_register( addr, ISSI_REG_PICTUREFRAME, 0x00 );
        // audio sync off
-       IS31FL3731_write_register( addr, ISSI_REG_AUDIOSYNC, 0x00 );
+       ret |= IS31FL3731_write_register( addr, ISSI_REG_AUDIOSYNC, 0x00 );
 
        // select bank 0
-       IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, 0 );
+       ret |= IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, 0 );
 
        // turn off all LEDs in the LED control register
        for ( int i = 0x00; i <= 0x11; i++ )
        {
-               IS31FL3731_write_register( addr, i, 0x00 );
+               ret |= IS31FL3731_write_register( addr, i, 0x00 );
        }
 
        // turn off all LEDs in the blink control register (not really needed)
        for ( int i = 0x12; i <= 0x23; i++ )
        {
-               IS31FL3731_write_register( addr, i, 0x00 );
+               ret |= IS31FL3731_write_register( addr, i, 0x00 );
        }
 
        // set PWM on all LEDs to 0
        for ( int i = 0x24; i <= 0xB3; i++ )
        {
-               IS31FL3731_write_register( addr, i, 0x00 );
+               ret |= IS31FL3731_write_register( addr, i, 0x00 );
        }
 
        // select "function register" bank
-       IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, ISSI_BANK_FUNCTIONREG );
+       ret |= IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, ISSI_BANK_FUNCTIONREG );
 
        // disable software shutdown
-       IS31FL3731_write_register( addr, ISSI_REG_SHUTDOWN, 0x01 );
+       ret |= IS31FL3731_write_register( addr, ISSI_REG_SHUTDOWN, 0x01 );
 
        // select bank 0 and leave it selected.
        // most usage after initialization is just writing PWM buffers in bank 0
        // as there's not much point in double-buffering
-       IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, 0 );
+       ret |= IS31FL3731_write_register( addr, ISSI_COMMANDREGISTER, 0 );
+
+  return ret;
 }
 
 void IS31FL3731_set_color( int index, uint8_t red, uint8_t green, uint8_t blue )
@@ -223,25 +227,29 @@ void IS31FL3731_set_led_control_register( uint8_t index, bool red, bool green, b
 
 }
 
-void IS31FL3731_update_pwm_buffers( uint8_t addr1, uint8_t addr2 )
+uint8_t IS31FL3731_update_pwm_buffers( uint8_t addr1, uint8_t addr2 )
 {
+  uint8_t ret = 0;
        if ( g_pwm_buffer_update_required )
        {
-               IS31FL3731_write_pwm_buffer( addr1, g_pwm_buffer[0] );
-               IS31FL3731_write_pwm_buffer( addr2, g_pwm_buffer[1] );
+               ret |= IS31FL3731_write_pwm_buffer( addr1, g_pwm_buffer[0] );
+               ret |= IS31FL3731_write_pwm_buffer( addr2, g_pwm_buffer[1] );
        }
        g_pwm_buffer_update_required = false;
+  return ret;
 }
 
-void IS31FL3731_update_led_control_registers( uint8_t addr1, uint8_t addr2 )
+uint8_t IS31FL3731_update_led_control_registers( uint8_t addr1, uint8_t addr2 )
 {
+  uint8_t ret = 0;
        if ( g_led_control_registers_update_required )
        {
                for ( int i=0; i<18; i++ )
                {
-                       IS31FL3731_write_register(addr1, i, g_led_control_registers[0][i] );
-                       IS31FL3731_write_register(addr2, i, g_led_control_registers[1][i] );
+                       ret |= IS31FL3731_write_register(addr1, i, g_led_control_registers[0][i] );
+                       ret |= IS31FL3731_write_register(addr2, i, g_led_control_registers[1][i] );
                }
        }
+  return ret;
 }
 
index 3d30fc67b7543ea9e2bc57f74bcd80e96ea0b42a..62632e276a4338e45be6d3646c08afe9fe4b42c2 100644 (file)
@@ -31,9 +31,9 @@ typedef struct is31_led {
 
 extern const is31_led g_is31_leds[DRIVER_LED_TOTAL];
 
-void IS31FL3731_init( uint8_t addr );
-void IS31FL3731_write_register( uint8_t addr, uint8_t reg, uint8_t data );
-void IS31FL3731_write_pwm_buffer( uint8_t addr, uint8_t *pwm_buffer );
+uint8_t IS31FL3731_init( uint8_t addr );
+uint8_t IS31FL3731_write_register( uint8_t addr, uint8_t reg, uint8_t data );
+uint8_t IS31FL3731_write_pwm_buffer( uint8_t addr, uint8_t *pwm_buffer );
 
 void IS31FL3731_set_color( int index, uint8_t red, uint8_t green, uint8_t blue );
 void IS31FL3731_set_color_all( uint8_t red, uint8_t green, uint8_t blue );
@@ -44,8 +44,8 @@ void IS31FL3731_set_led_control_register( uint8_t index, bool red, bool green, b
 // (eg. from a timer interrupt).
 // Call this while idle (in between matrix scans).
 // If the buffer is dirty, it will update the driver with the buffer.
-void IS31FL3731_update_pwm_buffers( uint8_t addr1, uint8_t addr2 );
-void IS31FL3731_update_led_control_registers( uint8_t addr1, uint8_t addr2 );
+uint8_t IS31FL3731_update_pwm_buffers( uint8_t addr1, uint8_t addr2 );
+uint8_t IS31FL3731_update_led_control_registers( uint8_t addr1, uint8_t addr2 );
 
 #define C1_1  0x24
 #define C1_2  0x25
index 1285cbe1c9752c8cc76fda9ff173766ad909d9d8..ec1620030c9c18e55c0265bc4472092426824bc9 100644 (file)
@@ -138,4 +138,6 @@ along with this program.  If not, see <http://www.gnu.org/licenses/>.
 //#define NO_ACTION_FUNCTION
 //#define DEBUG_MATRIX_SCAN_RATE
 
+#define I2C_TIMEOUT 1000
+
 #endif
index cfa3df74183dd63141c444ab24b40c6110aaefd9..5abd222d19e6e4dc844b9ac08c9555107c8e8c6d 100644 (file)
@@ -854,7 +854,7 @@ void matrix_init_quantum() {
     audio_init();
   #endif
   #ifdef RGB_MATRIX_ENABLE
-    rgb_matrix_init_drivers();
+    rgb_matrix_init();
   #endif
   matrix_init_kb();
 }
index 8c41fc54d21ba628bb4ae91ecb0c468aa9a1be78..d71283f7c24c29bfc95034ea6a5d41fc5bd2f225 100644 (file)
@@ -101,10 +101,14 @@ void map_row_column_to_led( uint8_t row, uint8_t column, uint8_t *led_i, uint8_t
     }
 }
 
-
 void rgb_matrix_update_pwm_buffers(void) {
-    IS31FL3731_update_pwm_buffers( DRIVER_ADDR_1, DRIVER_ADDR_2 );
-    IS31FL3731_update_led_control_registers( DRIVER_ADDR_1, DRIVER_ADDR_2 );
+    uint8_t ret = IS31FL3731_update_pwm_buffers( DRIVER_ADDR_1, DRIVER_ADDR_2 );
+    ret |= IS31FL3731_update_led_control_registers( DRIVER_ADDR_1, DRIVER_ADDR_2 );
+    if (ret == 2) {
+      wait_ms(1000);
+      i2c_stop();
+      rgb_matrix_setup_drivers();
+    }
 }
 
 void rgb_matrix_set_color( int index, uint8_t red, uint8_t green, uint8_t blue ) {
@@ -115,7 +119,6 @@ void rgb_matrix_set_color_all( uint8_t red, uint8_t green, uint8_t blue ) {
     IS31FL3731_set_color_all( red, green, blue );
 }
 
-
 bool process_rgb_matrix(uint16_t keycode, keyrecord_t *record) {
     if ( record->event.pressed ) {
         uint8_t led[8], led_count;
@@ -218,7 +221,7 @@ void rgb_matrix_single_LED_test(void) {
 }
 
 // All LEDs off
-void rgb_matrix_all_off(void) { 
+void rgb_matrix_all_off(void) {
     rgb_matrix_set_color_all( 0, 0, 0 );
 }
 
@@ -244,7 +247,7 @@ void rgb_matrix_solid_reactive(void) {
 
 // alphas = color1, mods = color2
 void rgb_matrix_alphas_mods(void) {
+
     RGB rgb1 = hsv_to_rgb( (HSV){ .h = rgb_matrix_config.hue, .s = rgb_matrix_config.sat, .v = rgb_matrix_config.val } );
     RGB rgb2 = hsv_to_rgb( (HSV){ .h = (rgb_matrix_config.hue + 180) % 360, .s = rgb_matrix_config.sat, .v = rgb_matrix_config.val } );
 
@@ -722,40 +725,44 @@ void rgb_matrix_indicators_user(void) {}
 //  }
 // }
 
-void rgb_matrix_init_drivers(void) {
-    // Initialize TWI
-    i2c_init();
-    IS31FL3731_init( DRIVER_ADDR_1 );
-    IS31FL3731_init( DRIVER_ADDR_2 );
-
-    for ( int index = 0; index < DRIVER_LED_TOTAL; index++ ) {
-        bool enabled = true;
-        // This only caches it for later
-        IS31FL3731_set_led_control_register( index, enabled, enabled, enabled );
-    }
-    // This actually updates the LED drivers
-    IS31FL3731_update_led_control_registers( DRIVER_ADDR_1, DRIVER_ADDR_2 );
-
-    // TODO: put the 1 second startup delay here?
-
-    // clear the key hits
-    for ( int led=0; led<DRIVER_LED_TOTAL; led++ ) {
-        g_key_hit[led] = 255;
-    }
-
-
-    if (!eeconfig_is_enabled()) {
-        dprintf("rgb_matrix_init_drivers eeconfig is not enabled.\n");
-        eeconfig_init();
-        eeconfig_update_rgb_matrix_default();
-    }
-    rgb_matrix_config.raw = eeconfig_read_rgb_matrix();
-    if (!rgb_matrix_config.mode) {
-        dprintf("rgb_matrix_init_drivers rgb_matrix_config.mode = 0. Write default values to EEPROM.\n");
-        eeconfig_update_rgb_matrix_default();
-        rgb_matrix_config.raw = eeconfig_read_rgb_matrix();
-    }
-    eeconfig_debug_rgb_matrix(); // display current eeprom values
+void rgb_matrix_init(void) {
+  rgb_matrix_setup_drivers();
+
+  // TODO: put the 1 second startup delay here?
+
+  // clear the key hits
+  for ( int led=0; led<DRIVER_LED_TOTAL; led++ ) {
+      g_key_hit[led] = 255;
+  }
+
+
+  if (!eeconfig_is_enabled()) {
+      dprintf("rgb_matrix_init_drivers eeconfig is not enabled.\n");
+      eeconfig_init();
+      eeconfig_update_rgb_matrix_default();
+  }
+  rgb_matrix_config.raw = eeconfig_read_rgb_matrix();
+  if (!rgb_matrix_config.mode) {
+      dprintf("rgb_matrix_init_drivers rgb_matrix_config.mode = 0. Write default values to EEPROM.\n");
+      eeconfig_update_rgb_matrix_default();
+      rgb_matrix_config.raw = eeconfig_read_rgb_matrix();
+  }
+  eeconfig_debug_rgb_matrix(); // display current eeprom values
+}
+
+void rgb_matrix_setup_drivers(void) {
+  // Initialize TWI
+  i2c_init();
+  IS31FL3731_init( DRIVER_ADDR_1 );
+  IS31FL3731_init( DRIVER_ADDR_2 );
+
+  for ( int index = 0; index < DRIVER_LED_TOTAL; index++ ) {
+    bool enabled = true;
+    // This only caches it for later
+    IS31FL3731_set_led_control_register( index, enabled, enabled, enabled );
+  }
+  // This actually updates the LED drivers
+  IS31FL3731_update_led_control_registers( DRIVER_ADDR_1, DRIVER_ADDR_2 );
 }
 
 // Deals with the messy details of incrementing an integer
index aaa85d5f515a1f3c11b047b1df551734c869ed95..5769314002b50c792787e3e55f3b77ec5f6fb614 100644 (file)
@@ -95,7 +95,8 @@ void rgb_matrix_indicators_user(void);
 
 void rgb_matrix_single_LED_test(void);
 
-void rgb_matrix_init_drivers(void);
+void rgb_matrix_init(void);
+void rgb_matrix_setup_drivers(void);
 
 void rgb_matrix_set_suspend_state(bool state);
 void rgb_matrix_set_indicator_state(uint8_t state);