]> git.donarmstrong.com Git - qmk_firmware.git/commitdiff
Cleanup/rgb matrix (#5811)
authorXScorpion2 <rcalt2vt@gmail.com>
Fri, 10 May 2019 23:55:02 +0000 (18:55 -0500)
committerMechMerlin <30334081+mechmerlin@users.noreply.github.com>
Fri, 10 May 2019 23:55:02 +0000 (16:55 -0700)
* clean up rgb matrix extern usage

Moved rgb matrix boiler plate into macros

Rebased onto typing heatmap pr

* Fixing the reversed frame buffer access in digital rain

* Fixing digital rain & typing heatmap if keyreactive effects are not enabled

* Apply suggestions from code review

Co-Authored-By: Drashna Jaelre <drashna@live.com>
* Adding parenthesizes to DRIVER_LED_TOTAL where necessary

* Updated docs

* added notes about parentheses

48 files changed:
docs/feature_rgb_matrix.md
keyboards/dztech/dz40rgb/keymaps/default/keymap.c
keyboards/dztech/dz40rgb/keymaps/split_space/keymap.c
keyboards/dztech/dz60rgb/keymaps/ansi/keymap.c
keyboards/dztech/dz60rgb/keymaps/default/keymap.c
keyboards/dztech/dz60rgb/keymaps/hhkb/keymap.c
keyboards/dztech/dz60rgb/keymaps/hhkb_iso/keymap.c
keyboards/dztech/dz60rgb/keymaps/iso/keymap.c
keyboards/dztech/dz60rgb/keymaps/matthewrobo/keymap.c
keyboards/dztech/dz60rgb/keymaps/mekanist/keymap.c
keyboards/dztech/dz65rgb/config.h
keyboards/dztech/dz65rgb/keymaps/default/keymap.c
keyboards/ergodox_ez/config.h
keyboards/hs60/v1/config.h
keyboards/massdrop/ctrl/keymaps/matthewrobo/keymap.c
keyboards/planck/keymaps/tom/keymap.c
keyboards/planck/light/config.h
keyboards/sol/keymaps/xulkal/keymap.c
layouts/community/ergodox/drashna/keymap.c
layouts/community/ortho_4x12/drashna/keymap.c
quantum/quantum.c
quantum/rgb_matrix.c
quantum/rgb_matrix.h
quantum/rgb_matrix_animations/alpha_mods_anim.h
quantum/rgb_matrix_animations/breathing_anim.h
quantum/rgb_matrix_animations/cycle_all_anim.h
quantum/rgb_matrix_animations/cycle_left_right_anim.h
quantum/rgb_matrix_animations/cycle_up_down_anim.h
quantum/rgb_matrix_animations/digital_rain_anim.h
quantum/rgb_matrix_animations/dual_beacon_anim.h
quantum/rgb_matrix_animations/gradient_up_down_anim.h
quantum/rgb_matrix_animations/jellybean_raindrops_anim.h
quantum/rgb_matrix_animations/rainbow_beacon_anim.h
quantum/rgb_matrix_animations/rainbow_moving_chevron_anim.h
quantum/rgb_matrix_animations/rainbow_pinwheels_anim.h
quantum/rgb_matrix_animations/raindrops_anim.h
quantum/rgb_matrix_animations/rgb_matrix_effects.inc [new file with mode: 0644]
quantum/rgb_matrix_animations/solid_color_anim.h
quantum/rgb_matrix_animations/solid_reactive_anim.h
quantum/rgb_matrix_animations/solid_reactive_cross.h
quantum/rgb_matrix_animations/solid_reactive_nexus.h
quantum/rgb_matrix_animations/solid_reactive_simple_anim.h
quantum/rgb_matrix_animations/solid_reactive_wide.h
quantum/rgb_matrix_animations/solid_splash_anim.h
quantum/rgb_matrix_animations/splash_anim.h
quantum/rgb_matrix_animations/typing_heatmap_anim.h
tmk_core/protocol/arm_atsam/led_matrix.c
users/drashna/rgb_stuff.c

index 1e4341467617319b78bc588cf686b6555e115412..e29433a4bf5315866f2d820f40ea274480cba8a6 100644 (file)
@@ -30,9 +30,11 @@ Configure the hardware via your `config.h`:
 #define DRIVER_COUNT 2
 #define DRIVER_1_LED_TOTAL 25
 #define DRIVER_2_LED_TOTAL 24
-#define DRIVER_LED_TOTAL DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL
+#define DRIVER_LED_TOTAL (DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL)
 ```
 
+!> Note the parentheses, this is so when `DRIVER_LED_TOTAL` is used in code and expanded, the values are added together before any additional math is applied to them. As an example, `rand() % (DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL)` will give very different results than `rand() % DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL`.
+
 Currently only 2 drivers are supported, but it would be trivial to support all 4 combinations.
 
 Define these arrays listing all the LEDs in your `<keyboard>.c`:
index 650c178a7e02afbbadcf2b6ee682c389146212d1..e4f56f5a8ee8759cec8f6fc109a634b30d17e379 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
@@ -32,7 +31,6 @@ const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
 
 };
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
   for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
     if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index 80741b19c4325cf5859aa73313f265ce61375cd1..04c31bab1bf51963557b68c660faefa4c3e826c6 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
@@ -53,7 +52,6 @@ const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
 
 
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
   for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
     if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index 584f035ef4cb206079ec462948c33d7f7718bccb..8633b1836a9f133540b669dbc8176d5787b33750 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
@@ -38,7 +37,6 @@ const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
                                KC_TRNS,  KC_TRNS,  KC_TRNS,                      TO(0),                                  KC_TRNS,  KC_TRNS,  KC_TRNS,            KC_TRNS),
                };
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
   for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
     if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index c0bc1b89b1dcef3651576bd6bbe94d7ce675b8c3..464d92e023e51c4e3a5dc9ff5e727b2717a0674f 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
index 5a7a56801a3edb7d0ed2b62aad462ce312f94175..091343ac38828dda8d2e36b30402c578dfcfea48 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
@@ -39,7 +38,6 @@ const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
                };
 
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
   for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
     if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index 34c1752ffa78003506db94a28522a8ee4ed71bf5..bc8a6fa36ee587fe8efe79c228652451e2f8ff31 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
@@ -39,7 +38,6 @@ const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
                                  KC_TRNS,  KC_TRNS,  KC_TRNS,                      TO(0),                                            KC_TRNS,  KC_TRNS,            KC_TRNS),
                };
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
   for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
     if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index 3a90d2f335d2a4013fce2ab96c443143ef2b723e..61a3a2286440e30a5ba0b4e3d383102646ed4264 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
@@ -38,7 +37,6 @@ const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
                                KC_TRNS,  KC_TRNS,  KC_TRNS,                      TO(0),                                  KC_TRNS,  KC_TRNS,  KC_TRNS,            KC_TRNS),
                };
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
   for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
     if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index 5c725f5dccdaee86b986556451ecd16e743dea9e..600ac861928057923488f536cd97c5b9ef0c9af7 100644 (file)
@@ -1,6 +1,5 @@
 #include QMK_KEYBOARD_H
 
-extern bool g_suspend_state;
 
 enum dz60rgb_layers {
        _QWERTY,
@@ -26,7 +25,6 @@ enum dz60rgb_keycodes {
 #define _V_V_V_ KC_TRNS
 #define LT_CAPS LT(_NAV, KC_CAPS)
 #define LT_DEL  LT(_RGB, KC_DEL)
-extern rgb_config_t rgb_matrix_config;
 extern bool autoshift_enabled;
 #define MT_SLSH RSFT_T(KC_SLSH)
 #define MT_APP  RALT_T(KC_APP)
index a6d1e226bd6c13cfbe9318763a587a81e36d1a3c..a7f1dd73e2e13062d9cde85a797b38554fc09d5c 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 #define _LAYER2 2
@@ -50,8 +49,7 @@ const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
 
 
 
-extern led_config_t g_led_config;
-void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
+void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue) {
   for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
     if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
         rgb_matrix_set_color( i, red, green, blue );
index 8ef9c23904edb76326b543b2a6d3e5c0284a7e3f..f4c1f11149342e31d670de2c6f15aca572edf165 100644 (file)
@@ -26,4 +26,4 @@
 #define DRIVER_COUNT 2
 #define DRIVER_1_LED_TOTAL 35
 #define DRIVER_2_LED_TOTAL 33
-#define DRIVER_LED_TOTAL DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL
+#define DRIVER_LED_TOTAL (DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL)
index bce9118f8f798cf80489f5bf3510016cb86791bf..dae08a48c674fa4e3e4864ac0f52374512eb3d12 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 #define _LAYER0 0
 #define _LAYER1 1
 const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
index cbf7f8aaa29a657eeb880c8d8ed9ff0ab0ca9acc..d22836bd8676690acc3b20bb38097e7df7afe732 100644 (file)
@@ -107,7 +107,7 @@ along with this program.  If not, see <http://www.gnu.org/licenses/>.
 #define DRIVER_COUNT 2
 #define DRIVER_1_LED_TOTAL 24
 #define DRIVER_2_LED_TOTAL 24
-#define DRIVER_LED_TOTAL DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL
+#define DRIVER_LED_TOTAL (DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL)
 
 // #define RGBLIGHT_COLOR_LAYER_0 0x00, 0x00, 0xFF
 /* #define RGBLIGHT_COLOR_LAYER_1 0x00, 0x00, 0xFF */
index 528f08bb9948375cc375eeac052397ad50e19b49..ee546f3f165009ce95f9266862efd5327f793982 100644 (file)
@@ -134,4 +134,4 @@ along with this program.  If not, see <http://www.gnu.org/licenses/>.
 #define DRIVER_2_LED_TOTAL 32
 #endif
 
-#define DRIVER_LED_TOTAL DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL
+#define DRIVER_LED_TOTAL (DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL)
index b4339a4d48a6f64a9a36c725652b731ebb48915a..2823292e681d9197041a7479604a684b3803c120 100644 (file)
@@ -1,5 +1,4 @@
 #include QMK_KEYBOARD_H
-extern bool g_suspend_state;
 
 enum ctrl_layers {
        _QWERTY,
@@ -31,7 +30,6 @@ enum ctrl_keycodes {
 #define TG_NKRO MAGIC_TOGGLE_NKRO //Toggle 6KRO / NKRO mode
 #define LT_CAPS LT(_NAV, KC_CAPS)
 #define _V_V_V_ KC_TRNS
-extern rgb_config_t rgb_matrix_config;
 extern bool autoshift_enabled;
 
 
index 0ffff4e695b592f27017ff6f270e57c94244b941..e6a1411ee8bbc5809823e6dbec1f93399f5ca390 100644 (file)
@@ -224,10 +224,6 @@ bool music_mask_user(uint16_t keycode) {
   }
 }
 
-#ifdef RGB_MATRIX_ENABLE
-extern led_config_t g_led_config;
-#endif
-
 void rgb_matrix_indicators_user(void) {
   #ifdef RGB_MATRIX_ENABLE
   switch (biton32(layer_state)) {
index 17c015ed0fdfbb85822714115e0eeef60fe14003..c7bc9cb1a38174ec4e21507c48b06b07182b6559 100644 (file)
@@ -39,7 +39,7 @@
 #define DRIVER_COUNT 2
 #define DRIVER_1_LED_TOTAL 25
 #define DRIVER_2_LED_TOTAL 24
-#define DRIVER_LED_TOTAL DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL
+#define DRIVER_LED_TOTAL (DRIVER_1_LED_TOTAL + DRIVER_2_LED_TOTAL)
 
 
 #endif
index 111e8aa3201860cbd7837cc234bd1074c4c67cad..3bbd57f707b6a0ff3f4c85091942687d39d2c199 100644 (file)
@@ -92,7 +92,6 @@ static void render_logo(void) {
   oled_write_P(sol_logo, false);
 }
 
-extern rgb_config_t rgb_matrix_config;
 
 static void render_status(void) {
   // Render to mode icon
index 3cfce966beb3f829cc08b17a74a5b12d40e77f4f..08689cf3de70a95b26739fa935566b6abeab19b0 100644 (file)
@@ -24,10 +24,6 @@ along with this program.  If not, see <http://www.gnu.org/licenses/>.
 #   define UC(x) KC_NO
 #endif
 
-#ifdef RGB_MATRIX_ENABLE
-extern bool g_suspend_state;
-extern rgb_config_t rgb_matrix_config;
-#endif
 extern userspace_config_t userspace_config;
 
 enum more_custom_keycodes {
@@ -403,7 +399,6 @@ void suspend_wakeup_init_keymap(void) {
     rgb_matrix_set_suspend_state(false);
 }
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue, bool default_layer) {
     for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
         if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index e8dc185a1bb8788ea1a9d37556db660478c475ac..9b0c2d7949651db5a4fb89c733307c0be2f6aecb 100644 (file)
 #include QMK_KEYBOARD_H
 #include "drashna.h"
 
-#ifdef RGB_MATRIX_ENABLE
-extern bool g_suspend_state;
-extern rgb_config_t rgb_matrix_config;
-#endif
 #ifdef RGBLIGHT_ENABLE
 extern rgblight_config_t rgblight_config;
 #endif
@@ -184,7 +180,6 @@ void suspend_wakeup_init_keymap(void) {
     rgb_matrix_set_suspend_state(false);
 }
 
-extern led_config_t g_led_config;
 void rgb_matrix_layer_helper (uint8_t red, uint8_t green, uint8_t blue) {
     for (int i = 0; i < DRIVER_LED_TOTAL; i++) {
         if (HAS_FLAGS(g_led_config.flags[i], LED_FLAG_MODIFIER)) {
index d4fa7f2efce1d56191984a2f881eabffb43cec14..473ead65f4b13943ba674117807038382d68232c 100644 (file)
@@ -258,7 +258,7 @@ bool process_record_quantum(keyrecord_t *record) {
   #ifdef HAPTIC_ENABLE
     process_haptic(keycode, record) &&
   #endif //HAPTIC_ENABLE
-  #if defined(RGB_MATRIX_ENABLE) && defined(RGB_MATRIX_KEYREACTIVE_ENABLED)
+  #if defined(RGB_MATRIX_ENABLE)
     process_rgb_matrix(keycode, record) &&
   #endif
     process_record_kb(keycode, record) &&
index 92a94df80ff510e2072dd91cb1eb15086f0a1d3c..9b9932df52d69be9262d3a45559451bf208a13f1 100644 (file)
 
 #include "lib/lib8tion/lib8tion.h"
 
-#include "rgb_matrix_animations/solid_color_anim.h"
-#include "rgb_matrix_animations/alpha_mods_anim.h"
-#include "rgb_matrix_animations/dual_beacon_anim.h"
-#include "rgb_matrix_animations/gradient_up_down_anim.h"
-#include "rgb_matrix_animations/raindrops_anim.h"
-#include "rgb_matrix_animations/cycle_all_anim.h"
-#include "rgb_matrix_animations/cycle_left_right_anim.h"
-#include "rgb_matrix_animations/cycle_up_down_anim.h"
-#include "rgb_matrix_animations/rainbow_beacon_anim.h"
-#include "rgb_matrix_animations/rainbow_pinwheels_anim.h"
-#include "rgb_matrix_animations/rainbow_moving_chevron_anim.h"
-#include "rgb_matrix_animations/jellybean_raindrops_anim.h"
-#include "rgb_matrix_animations/typing_heatmap_anim.h"
-#include "rgb_matrix_animations/digital_rain_anim.h"
-#include "rgb_matrix_animations/solid_reactive_simple_anim.h"
-#include "rgb_matrix_animations/solid_reactive_anim.h"
-#include "rgb_matrix_animations/solid_reactive_wide.h"
-#include "rgb_matrix_animations/solid_reactive_cross.h"
-#include "rgb_matrix_animations/solid_reactive_nexus.h"
-#include "rgb_matrix_animations/splash_anim.h"
-#include "rgb_matrix_animations/solid_splash_anim.h"
-#include "rgb_matrix_animations/breathing_anim.h"
+// ------------------------------------------
+// -----Begin rgb effect includes macros-----
+#define RGB_MATRIX_EFFECT(name)
+#define RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-#if defined(RGB_MATRIX_CUSTOM_KB) || defined(RGB_MATRIX_CUSTOM_USER)
-  #define RGB_MATRIX_CUSTOM_EFFECT_IMPLS
-    #define RGB_MATRIX_EFFECT(name, ...)
-    #ifdef RGB_MATRIX_CUSTOM_KB
-      #include "rgb_matrix_kb.inc"
-    #endif
-    #ifdef RGB_MATRIX_CUSTOM_USER
-      #include "rgb_matrix_user.inc"
-    #endif
-    #undef RGB_MATRIX_EFFECT
-  #undef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
+#include "rgb_matrix_animations/rgb_matrix_effects.inc"
+#ifdef RGB_MATRIX_CUSTOM_KB
+    #include "rgb_matrix_kb.inc"
 #endif
+#ifdef RGB_MATRIX_CUSTOM_USER
+    #include "rgb_matrix_user.inc"
+#endif
+
+#undef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
+#undef RGB_MATRIX_EFFECT
+// -----End rgb effect includes macros-------
+// ------------------------------------------
 
 #ifndef RGB_DISABLE_AFTER_TIMEOUT
   #define RGB_DISABLE_AFTER_TIMEOUT 0
 
 bool g_suspend_state = false;
 
-extern led_config_t g_led_config;
 rgb_config_t rgb_matrix_config;
 
 rgb_counters_t g_rgb_counters;
@@ -319,145 +300,14 @@ static void rgb_task_render(uint8_t effect) {
       rendering = rgb_matrix_none(&rgb_effect_params);
       break;
 
-    case RGB_MATRIX_SOLID_COLOR:
-      rendering = rgb_matrix_solid_color(&rgb_effect_params);           // Max 1ms Avg 0ms
-      break;
-#ifndef DISABLE_RGB_MATRIX_ALPHAS_MODS
-    case RGB_MATRIX_ALPHAS_MODS:
-      rendering = rgb_matrix_alphas_mods(&rgb_effect_params);           // Max 2ms Avg 1ms
-      break;
-#endif // DISABLE_RGB_MATRIX_ALPHAS_MODS
-#ifndef DISABLE_RGB_MATRIX_GRADIENT_UP_DOWN
-    case RGB_MATRIX_GRADIENT_UP_DOWN:
-      rendering = rgb_matrix_gradient_up_down(&rgb_effect_params);      // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_GRADIENT_UP_DOWN
-#ifndef DISABLE_RGB_MATRIX_BREATHING
-    case RGB_MATRIX_BREATHING:
-      rendering = rgb_matrix_breathing(&rgb_effect_params);             // Max 1ms Avg 0ms
-      break;
-#endif // DISABLE_RGB_MATRIX_BREATHING
-#ifndef DISABLE_RGB_MATRIX_CYCLE_ALL
-    case RGB_MATRIX_CYCLE_ALL:
-      rendering = rgb_matrix_cycle_all(&rgb_effect_params);             // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_CYCLE_ALL
-#ifndef DISABLE_RGB_MATRIX_CYCLE_LEFT_RIGHT
-    case RGB_MATRIX_CYCLE_LEFT_RIGHT:
-      rendering = rgb_matrix_cycle_left_right(&rgb_effect_params);      // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_CYCLE_LEFT_RIGHT
-#ifndef DISABLE_RGB_MATRIX_CYCLE_UP_DOWN
-    case RGB_MATRIX_CYCLE_UP_DOWN:
-      rendering = rgb_matrix_cycle_up_down(&rgb_effect_params);         // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_CYCLE_UP_DOWN
-#ifndef DISABLE_RGB_MATRIX_RAINBOW_MOVING_CHEVRON
-    case RGB_MATRIX_RAINBOW_MOVING_CHEVRON:
-      rendering = rgb_matrix_rainbow_moving_chevron(&rgb_effect_params); // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_RAINBOW_MOVING_CHEVRON
-#ifndef DISABLE_RGB_MATRIX_DUAL_BEACON
-    case RGB_MATRIX_DUAL_BEACON:
-      rendering = rgb_matrix_dual_beacon(&rgb_effect_params);           // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_DUAL_BEACON
-#ifndef DISABLE_RGB_MATRIX_RAINBOW_BEACON
-    case RGB_MATRIX_RAINBOW_BEACON:
-      rendering = rgb_matrix_rainbow_beacon(&rgb_effect_params);        // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_RAINBOW_BEACON
-#ifndef DISABLE_RGB_MATRIX_RAINBOW_PINWHEELS
-    case RGB_MATRIX_RAINBOW_PINWHEELS:
-      rendering = rgb_matrix_rainbow_pinwheels(&rgb_effect_params);     // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_RAINBOW_PINWHEELS
-#ifndef DISABLE_RGB_MATRIX_RAINDROPS
-    case RGB_MATRIX_RAINDROPS:
-      rendering = rgb_matrix_raindrops(&rgb_effect_params);             // Max 1ms Avg 0ms
-      break;
-#endif // DISABLE_RGB_MATRIX_RAINDROPS
-#ifndef DISABLE_RGB_MATRIX_JELLYBEAN_RAINDROPS
-    case RGB_MATRIX_JELLYBEAN_RAINDROPS:
-      rendering = rgb_matrix_jellybean_raindrops(&rgb_effect_params);   // Max 1ms Avg 0ms
-      break;
-#endif // DISABLE_RGB_MATRIX_JELLYBEAN_RAINDROPS
-
-#ifdef RGB_MATRIX_FRAMEBUFFER_EFFECTS
-#ifndef DISABLE_RGB_MATRIX_TYPING_HEATMAP
-    case RGB_MATRIX_TYPING_HEATMAP:
-      rendering = rgb_matrix_typing_heatmap(&rgb_effect_params);        // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_TYPING_HEATMAP
-#ifndef DISABLE_RGB_MATRIX_DIGITAL_RAIN
-    case RGB_MATRIX_DIGITAL_RAIN:
-      rendering = rgb_matrix_digital_rain(&rgb_effect_params);         // Max 9ms Avg 8ms | this is expensive, fix it
-      break;
-#endif // DISABLE_RGB_MATRIX_DIGITAL_RAIN
-#endif // RGB_MATRIX_FRAMEBUFFER_EFFECTS
-
-#ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_SIMPLE
-    case RGB_MATRIX_SOLID_REACTIVE_SIMPLE:
-      rendering = rgb_matrix_solid_reactive_simple(&rgb_effect_params);// Max 4ms Avg 3ms
-      break;
-#endif
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE
-    case RGB_MATRIX_SOLID_REACTIVE:
-      rendering = rgb_matrix_solid_reactive(&rgb_effect_params);       // Max 4ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_WIDE
-    case RGB_MATRIX_SOLID_REACTIVE_WIDE:
-      rendering = rgb_matrix_solid_reactive_wide(&rgb_effect_params);       // Max ?? ms Avg ?? ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_WIDE
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE
-    case RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE:
-      rendering = rgb_matrix_solid_reactive_multiwide(&rgb_effect_params);       // Max ?? ms Avg ?? ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_CROSS
-    case RGB_MATRIX_SOLID_REACTIVE_CROSS:
-      rendering = rgb_matrix_solid_reactive_cross(&rgb_effect_params);       // Max ?? ms Avg ?? ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_CROSS
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTICROSS
-    case RGB_MATRIX_SOLID_REACTIVE_MULTICROSS:
-      rendering = rgb_matrix_solid_reactive_multicross(&rgb_effect_params);       // Max ?? ms Avg ?? ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTICROSS
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_NEXUS
-    case RGB_MATRIX_SOLID_REACTIVE_NEXUS:
-      rendering = rgb_matrix_solid_reactive_nexus(&rgb_effect_params);       // Max ?? ms Avg ?? ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_NEXUS
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS
-    case RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS:
-      rendering = rgb_matrix_solid_reactive_multinexus(&rgb_effect_params);       // Max ?? ms Avg ?? ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS
-#ifndef DISABLE_RGB_MATRIX_SPLASH
-    case RGB_MATRIX_SPLASH:
-      rendering = rgb_matrix_splash(&rgb_effect_params);               // Max 5ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SPLASH
-#ifndef DISABLE_RGB_MATRIX_MULTISPLASH
-    case RGB_MATRIX_MULTISPLASH:
-      rendering = rgb_matrix_multisplash(&rgb_effect_params);          // Max 10ms Avg 5ms
-      break;
-#endif // DISABLE_RGB_MATRIX_MULTISPLASH
-#ifndef DISABLE_RGB_MATRIX_SOLID_SPLASH
-    case RGB_MATRIX_SOLID_SPLASH:
-      rendering = rgb_matrix_solid_splash(&rgb_effect_params);         // Max 5ms Avg 3ms
-      break;
-#endif // DISABLE_RGB_MATRIX_SOLID_SPLASH
-#ifndef DISABLE_RGB_MATRIX_SOLID_MULTISPLASH
-    case RGB_MATRIX_SOLID_MULTISPLASH:
-      rendering = rgb_matrix_solid_multisplash(&rgb_effect_params);    // Max 10ms Avg 5ms
+// ---------------------------------------------
+// -----Begin rgb effect switch case macros-----
+#define RGB_MATRIX_EFFECT(name, ...) \
+    case RGB_MATRIX_##name: \
+      rendering = name(&rgb_effect_params); \
       break;
-#endif // DISABLE_RGB_MATRIX_SOLID_MULTISPLASH
-#endif // RGB_MATRIX_KEYREACTIVE_ENABLED
+#include "rgb_matrix_animations/rgb_matrix_effects.inc"
+#undef RGB_MATRIX_EFFECT
 
 #if defined(RGB_MATRIX_CUSTOM_KB) || defined(RGB_MATRIX_CUSTOM_USER)
   #define RGB_MATRIX_EFFECT(name, ...) \
@@ -472,6 +322,8 @@ static void rgb_task_render(uint8_t effect) {
   #endif
   #undef RGB_MATRIX_EFFECT
 #endif
+// -----End rgb effect switch case macros-------
+// ---------------------------------------------
 
     // Factory default magic value
     case UINT8_MAX: {
index add0715d9f385306961aa19bf28b088b281fa853..96a8b766271cb1a95b3f9ba08fb377217209d201 100644 (file)
@@ -64,89 +64,12 @@ typedef struct
 
 enum rgb_matrix_effects {
   RGB_MATRIX_NONE = 0,
-       RGB_MATRIX_SOLID_COLOR = 1,
-#ifndef DISABLE_RGB_MATRIX_ALPHAS_MODS
-  RGB_MATRIX_ALPHAS_MODS,
-#endif // DISABLE_RGB_MATRIX_ALPHAS_MODS
-#ifndef DISABLE_RGB_MATRIX_GRADIENT_UP_DOWN
-  RGB_MATRIX_GRADIENT_UP_DOWN,
-#endif // DISABLE_RGB_MATRIX_GRADIENT_UP_DOWN
-#ifndef DISABLE_RGB_MATRIX_BREATHING
-  RGB_MATRIX_BREATHING,
-#endif // DISABLE_RGB_MATRIX_BREATHING
-#ifndef DISABLE_RGB_MATRIX_CYCLE_ALL
-  RGB_MATRIX_CYCLE_ALL,
-#endif // DISABLE_RGB_MATRIX_CYCLE_ALL
-#ifndef DISABLE_RGB_MATRIX_CYCLE_LEFT_RIGHT
-  RGB_MATRIX_CYCLE_LEFT_RIGHT,
-#endif // DISABLE_RGB_MATRIX_CYCLE_LEFT_RIGHT
-#ifndef DISABLE_RGB_MATRIX_CYCLE_UP_DOWN
-  RGB_MATRIX_CYCLE_UP_DOWN,
-#endif // DISABLE_RGB_MATRIX_CYCLE_UP_DOWN
-#ifndef DISABLE_RGB_MATRIX_RAINBOW_MOVING_CHEVRON
-  RGB_MATRIX_RAINBOW_MOVING_CHEVRON,
-#endif // DISABLE_RGB_MATRIX_RAINBOW_MOVING_CHEVRON
-#ifndef DISABLE_RGB_MATRIX_DUAL_BEACON
-  RGB_MATRIX_DUAL_BEACON,
-#endif // DISABLE_RGB_MATRIX_DUAL_BEACON
-#ifndef DISABLE_RGB_MATRIX_RAINBOW_BEACON
-  RGB_MATRIX_RAINBOW_BEACON,
-#endif // DISABLE_RGB_MATRIX_RAINBOW_BEACON
-#ifndef DISABLE_RGB_MATRIX_RAINBOW_PINWHEELS
-  RGB_MATRIX_RAINBOW_PINWHEELS,
-#endif // DISABLE_RGB_MATRIX_RAINBOW_PINWHEELS
-#ifndef DISABLE_RGB_MATRIX_RAINDROPS
-  RGB_MATRIX_RAINDROPS,
-#endif // DISABLE_RGB_MATRIX_RAINDROPS
-#ifndef DISABLE_RGB_MATRIX_JELLYBEAN_RAINDROPS
-  RGB_MATRIX_JELLYBEAN_RAINDROPS,
-#endif // DISABLE_RGB_MATRIX_JELLYBEAN_RAINDROPS
-#ifdef RGB_MATRIX_FRAMEBUFFER_EFFECTS
-#ifndef DISABLE_RGB_MATRIX_TYPING_HEATMAP
-  RGB_MATRIX_TYPING_HEATMAP,
-#endif // DISABLE_RGB_MATRIX_TYPING_HEATMAP
-#ifndef DISABLE_RGB_MATRIX_DIGITAL_RAIN
-  RGB_MATRIX_DIGITAL_RAIN,
-#endif // DISABLE_RGB_MATRIX_DIGITAL_RAIN
-#endif // RGB_MATRIX_FRAMEBUFFER_EFFECTS
-#ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_SIMPLE
-  RGB_MATRIX_SOLID_REACTIVE_SIMPLE,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_SIMPLE
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE
-  RGB_MATRIX_SOLID_REACTIVE,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_WIDE
-  RGB_MATRIX_SOLID_REACTIVE_WIDE,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_WIDE
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE
-  RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_CROSS
-  RGB_MATRIX_SOLID_REACTIVE_CROSS,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_CROSS
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTICROSS
-  RGB_MATRIX_SOLID_REACTIVE_MULTICROSS,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTICROSS
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_NEXUS
-  RGB_MATRIX_SOLID_REACTIVE_NEXUS,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_NEXUS
-#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS
-  RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS,
-#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS
-#ifndef DISABLE_RGB_MATRIX_SPLASH
-  RGB_MATRIX_SPLASH,
-#endif // DISABLE_RGB_MATRIX_SPLASH
-#ifndef DISABLE_RGB_MATRIX_MULTISPLASH
-  RGB_MATRIX_MULTISPLASH,
-#endif // DISABLE_RGB_MATRIX_MULTISPLASH
-#ifndef DISABLE_RGB_MATRIX_SOLID_SPLASH
-  RGB_MATRIX_SOLID_SPLASH,
-#endif // DISABLE_RGB_MATRIX_SOLID_SPLASH
-#ifndef DISABLE_RGB_MATRIX_SOLID_MULTISPLASH
-  RGB_MATRIX_SOLID_MULTISPLASH,
-#endif // DISABLE_RGB_MATRIX_SOLID_MULTISPLASH
-#endif // RGB_MATRIX_KEYREACTIVE_ENABLED
+
+// --------------------------------------
+// -----Begin rgb effect enum macros-----
+#define RGB_MATRIX_EFFECT(name, ...) RGB_MATRIX_##name,
+#include "rgb_matrix_animations/rgb_matrix_effects.inc"
+#undef RGB_MATRIX_EFFECT
 
 #if defined(RGB_MATRIX_CUSTOM_KB) || defined(RGB_MATRIX_CUSTOM_USER)
   #define RGB_MATRIX_EFFECT(name, ...) RGB_MATRIX_CUSTOM_##name,
@@ -158,6 +81,8 @@ enum rgb_matrix_effects {
   #endif
   #undef RGB_MATRIX_EFFECT
 #endif
+// --------------------------------------
+// -----End rgb effect enum macros-------
 
   RGB_MATRIX_EFFECT_MAX
 };
@@ -257,4 +182,16 @@ typedef struct {
 
 extern const rgb_matrix_driver_t rgb_matrix_driver;
 
+extern rgb_config_t rgb_matrix_config;
+
+extern bool g_suspend_state;
+extern rgb_counters_t g_rgb_counters;
+extern led_config_t g_led_config;
+#ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
+extern last_hit_t g_last_hit_tracker;
+#endif
+#ifdef RGB_MATRIX_FRAMEBUFFER_EFFECTS
+extern uint8_t rgb_frame_buffer[MATRIX_ROWS][MATRIX_COLS];
+#endif
+
 #endif
index d7f6f4655b8e2372df7740bc20881b050401b205..0fee19aefc6f9bf78ebe984d3ec7d99e358862a6 100644 (file)
@@ -1,11 +1,9 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_ALPHAS_MODS
-
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
+RGB_MATRIX_EFFECT(ALPHAS_MODS)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 // alphas = color1, mods = color2
-bool rgb_matrix_alphas_mods(effect_params_t* params) {
+bool ALPHAS_MODS(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { rgb_matrix_config.hue, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -24,4 +22,5 @@ bool rgb_matrix_alphas_mods(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_ALPHAS_MODS
index 54d60f927d6e5b622fb155018a139211a9c65fc1..c357b53031d5958a5996a9c7e427f0c63c45c906 100644 (file)
@@ -1,10 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_BREATHING
+RGB_MATRIX_EFFECT(BREATHING)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_breathing(effect_params_t* params) {
+bool BREATHING(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   uint16_t time = scale16by8(g_rgb_counters.tick, rgb_matrix_config.speed / 8);
@@ -18,4 +16,5 @@ bool rgb_matrix_breathing(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_BREATHING
index e93798f90069f0d98a440a3987116a97231e1f5c..e6319cad7ffddaac864f9e2b974b38c837050e50 100644 (file)
@@ -1,11 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_CYCLE_ALL
+RGB_MATRIX_EFFECT(CYCLE_ALL)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_cycle_all(effect_params_t* params) {
+bool CYCLE_ALL(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -18,4 +15,5 @@ bool rgb_matrix_cycle_all(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_CYCLE_ALL
index 4b09d5826b5e0b4b6508fa037319220e3bbe368c..d9a00530a020731f448db714f73bbec750120a8c 100644 (file)
@@ -1,11 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_CYCLE_LEFT_RIGHT
+RGB_MATRIX_EFFECT(CYCLE_LEFT_RIGHT)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_cycle_left_right(effect_params_t* params) {
+bool CYCLE_LEFT_RIGHT(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -19,4 +16,5 @@ bool rgb_matrix_cycle_left_right(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_CYCLE_LEFT_RIGHT
index 403214bb73b928fe8bcda259585f827741d5b2a9..f2b31d9da5232d578086ed5a0b2a26e6ac3ce1c1 100644 (file)
@@ -1,11 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_CYCLE_UP_DOWN
+RGB_MATRIX_EFFECT(CYCLE_UP_DOWN)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_cycle_up_down(effect_params_t* params) {
+bool CYCLE_UP_DOWN(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -19,4 +16,5 @@ bool rgb_matrix_cycle_up_down(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_CYCLE_UP_DOWN
index 6ccba392aab28aa5c73f72a98d1543eb9c129dad..982399cbdef1de2ea0b1c304d8c8d5b296e59945 100644 (file)
@@ -1,14 +1,13 @@
-#pragma once
 #if defined(RGB_MATRIX_FRAMEBUFFER_EFFECTS) && !defined(DISABLE_RGB_MATRIX_DIGITAL_RAIN)
+RGB_MATRIX_EFFECT(DIGITAL_RAIN)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 #ifndef RGB_DIGITAL_RAIN_DROPS
     // lower the number for denser effect/wider keyboard
     #define RGB_DIGITAL_RAIN_DROPS 24
 #endif
 
-extern uint8_t rgb_frame_buffer[MATRIX_ROWS][MATRIX_COLS];
-
-bool rgb_matrix_digital_rain(effect_params_t* params) {
+bool DIGITAL_RAIN(effect_params_t* params) {
   // algorithm ported from https://github.com/tremby/Kaleidoscope-LEDEffect-DigitalRain
   const uint8_t drop_ticks           = 28;
   const uint8_t pure_green_intensity = 0xd0;
@@ -19,7 +18,7 @@ bool rgb_matrix_digital_rain(effect_params_t* params) {
 
   if (params->init) {
     rgb_matrix_set_color_all(0, 0, 0);
-    memset(rgb_frame_buffer, 0, sizeof rgb_frame_buffer);
+    memset(rgb_frame_buffer, 0, sizeof(rgb_frame_buffer));
     drop = 0;
   }
 
@@ -28,11 +27,11 @@ bool rgb_matrix_digital_rain(effect_params_t* params) {
       if (row == 0 && drop == 0 && rand() < RAND_MAX / RGB_DIGITAL_RAIN_DROPS) {
         // top row, pixels have just fallen and we're
         // making a new rain drop in this column
-        rgb_frame_buffer[col][row] = max_intensity;
+        rgb_frame_buffer[row][col] = max_intensity;
       }
-      else if (rgb_frame_buffer[col][row] > 0 && rgb_frame_buffer[col][row] < max_intensity) {
+      else if (rgb_frame_buffer[row][col] > 0 && rgb_frame_buffer[row][col] < max_intensity) {
         // neither fully bright nor dark, decay it
-        rgb_frame_buffer[col][row]--;
+        rgb_frame_buffer[row][col]--;
       }
       // set the pixel colour
       uint8_t led[LED_HITS_TO_REMEMBER];
@@ -40,12 +39,12 @@ bool rgb_matrix_digital_rain(effect_params_t* params) {
 
       // TODO: multiple leds are supported mapped to the same row/column
       if (led_count > 0) {
-        if (rgb_frame_buffer[col][row] > pure_green_intensity) {
-          const uint8_t boost = (uint8_t) ((uint16_t) max_brightness_boost * (rgb_frame_buffer[col][row] - pure_green_intensity) / (max_intensity - pure_green_intensity));
+        if (rgb_frame_buffer[row][col] > pure_green_intensity) {
+          const uint8_t boost = (uint8_t) ((uint16_t) max_brightness_boost * (rgb_frame_buffer[row][col] - pure_green_intensity) / (max_intensity - pure_green_intensity));
           rgb_matrix_set_color(led[0], boost, max_intensity, boost);
         }
         else {
-          const uint8_t green = (uint8_t) ((uint16_t) max_intensity * rgb_frame_buffer[col][row] / pure_green_intensity);
+          const uint8_t green = (uint8_t) ((uint16_t) max_intensity * rgb_frame_buffer[row][col] / pure_green_intensity);
           rgb_matrix_set_color(led[0], 0, green, 0);
         }
       }
@@ -58,15 +57,15 @@ bool rgb_matrix_digital_rain(effect_params_t* params) {
     for (uint8_t row = MATRIX_ROWS - 1; row > 0; row--) {
       for (uint8_t col = 0; col < MATRIX_COLS; col++) {
         // if ths is on the bottom row and bright allow decay
-        if (row == MATRIX_ROWS - 1 && rgb_frame_buffer[col][row] == max_intensity) {
-          rgb_frame_buffer[col][row]--;
+        if (row == MATRIX_ROWS - 1 && rgb_frame_buffer[row][col] == max_intensity) {
+          rgb_frame_buffer[row][col]--;
         }
         // check if the pixel above is bright
-        if (rgb_frame_buffer[col][row - 1] == max_intensity) {
+        if (rgb_frame_buffer[row - 1][col] == max_intensity) {
           // allow old bright pixel to decay
-          rgb_frame_buffer[col][row - 1]--;
+          rgb_frame_buffer[row - 1][col]--;
           // make this pixel bright
-          rgb_frame_buffer[col][row] = max_intensity;
+          rgb_frame_buffer[row][col] = max_intensity;
         }
       }
     }
@@ -74,4 +73,5 @@ bool rgb_matrix_digital_rain(effect_params_t* params) {
   return false;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // defined(RGB_MATRIX_FRAMEBUFFER_EFFECTS) && !defined(DISABLE_RGB_MATRIX_DIGITAL_RAIN)
index dcb594029eb608e044b2f7b4848a48888e6d2108..f853f71eccebe94e6d28b0860aab43564ca90beb 100644 (file)
@@ -1,11 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_DUAL_BEACON
+RGB_MATRIX_EFFECT(DUAL_BEACON)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_dual_beacon(effect_params_t* params) {
+bool DUAL_BEACON(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -21,4 +18,5 @@ bool rgb_matrix_dual_beacon(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_DUAL_BEACON
index 7a6ed142197d73762d3966a253904ee187a8586f..d9fcd4d988cf5505f2f13571ff442a210272e2dd 100644 (file)
@@ -1,10 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_GRADIENT_UP_DOWN
+RGB_MATRIX_EFFECT(GRADIENT_UP_DOWN)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_gradient_up_down(effect_params_t* params) {
+bool GRADIENT_UP_DOWN(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -19,4 +17,6 @@ bool rgb_matrix_gradient_up_down(effect_params_t* params) {
   }
   return led_max < DRIVER_LED_TOTAL;
 }
+
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_GRADIENT_UP_DOWN
index 5ea971435e90966383275078c5a7480c45cf49e0..8f0b1bd914c43371ee6917de70042d4c8468985d 100644 (file)
@@ -1,9 +1,6 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_JELLYBEAN_RAINDROPS
-
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
+RGB_MATRIX_EFFECT(JELLYBEAN_RAINDROPS)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 static void jellybean_raindrops_set_color(int i, effect_params_t* params) {
   if (!HAS_ANY_FLAGS(g_led_config.flags[i], params->flags)) return;
@@ -12,7 +9,7 @@ static void jellybean_raindrops_set_color(int i, effect_params_t* params) {
   rgb_matrix_set_color(i, rgb.r, rgb.g, rgb.b);
 }
 
-bool rgb_matrix_jellybean_raindrops(effect_params_t* params) {
+bool JELLYBEAN_RAINDROPS(effect_params_t* params) {
   if (!params->init) {
     // Change one LED every tick, make sure speed is not 0
     if (scale16by8(g_rgb_counters.tick, qadd8(rgb_matrix_config.speed, 16)) % 5 == 0) {
@@ -28,4 +25,5 @@ bool rgb_matrix_jellybean_raindrops(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_JELLYBEAN_RAINDROPS
index d4628807311fe629fb985377f4ea07122ba34227..a0e0f814c5798a6770b2304421b7e411b89635ef 100644 (file)
@@ -1,11 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_RAINBOW_BEACON
+RGB_MATRIX_EFFECT(RAINBOW_BEACON)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_rainbow_beacon(effect_params_t* params) {
+bool RAINBOW_BEACON(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -21,4 +18,5 @@ bool rgb_matrix_rainbow_beacon(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_RAINBOW_BEACON
index 3b7d9689f847f265b3896fd9eba82d443c1911e2..39352b0c13690c350307719f8b5c9bb2e24d5569 100644 (file)
@@ -1,11 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_RAINBOW_MOVING_CHEVRON
+RGB_MATRIX_EFFECT(RAINBOW_MOVING_CHEVRON)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_rainbow_moving_chevron(effect_params_t* params) {
+bool RAINBOW_MOVING_CHEVRON(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -19,4 +16,5 @@ bool rgb_matrix_rainbow_moving_chevron(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_RAINBOW_MOVING_CHEVRON
index e92f351765a61f2f6edc8893cc8d3d4b634b4258..275aaa48d9f199fccb3e7b957019ad0ef71e5133 100644 (file)
@@ -1,11 +1,8 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_RAINBOW_PINWHEELS
+RGB_MATRIX_EFFECT(PINWHEELS)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_rainbow_pinwheels(effect_params_t* params) {
+bool PINWHEELS(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { 0, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -21,4 +18,5 @@ bool rgb_matrix_rainbow_pinwheels(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_RAINBOW_PINWHEELS
index 4ce1d65e5773bf9782a9edcc4ed7fcb7b5fe956c..09d0d1df87c4d5606a110b56a382cb20501a582f 100644 (file)
@@ -1,10 +1,6 @@
-#pragma once
 #ifndef DISABLE_RGB_MATRIX_RAINDROPS
-#include "rgb_matrix_types.h"
-
-extern rgb_counters_t g_rgb_counters;
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
+RGB_MATRIX_EFFECT(RAINDROPS)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 static void raindrops_set_color(int i, effect_params_t* params) {
   if (!HAS_ANY_FLAGS(g_led_config.flags[i], params->flags)) return;
@@ -23,7 +19,7 @@ static void raindrops_set_color(int i, effect_params_t* params) {
   rgb_matrix_set_color(i, rgb.r, rgb.g, rgb.b);
 }
 
-bool rgb_matrix_raindrops(effect_params_t* params) {
+bool RAINDROPS(effect_params_t* params) {
   if (!params->init) {
     // Change one LED every tick, make sure speed is not 0
     if (scale16by8(g_rgb_counters.tick, qadd8(rgb_matrix_config.speed, 16)) % 10 == 0) {
@@ -39,4 +35,5 @@ bool rgb_matrix_raindrops(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_RAINDROPS
diff --git a/quantum/rgb_matrix_animations/rgb_matrix_effects.inc b/quantum/rgb_matrix_animations/rgb_matrix_effects.inc
new file mode 100644 (file)
index 0000000..9bc6454
--- /dev/null
@@ -0,0 +1,23 @@
+// Add your new core rgb matrix effect here, order determins enum order, requires "rgb_matrix_animations/ directory
+#include "rgb_matrix_animations/solid_color_anim.h"
+#include "rgb_matrix_animations/alpha_mods_anim.h"
+#include "rgb_matrix_animations/gradient_up_down_anim.h"
+#include "rgb_matrix_animations/breathing_anim.h"
+#include "rgb_matrix_animations/cycle_all_anim.h"
+#include "rgb_matrix_animations/cycle_left_right_anim.h"
+#include "rgb_matrix_animations/cycle_up_down_anim.h"
+#include "rgb_matrix_animations/rainbow_moving_chevron_anim.h"
+#include "rgb_matrix_animations/dual_beacon_anim.h"
+#include "rgb_matrix_animations/rainbow_beacon_anim.h"
+#include "rgb_matrix_animations/rainbow_pinwheels_anim.h"
+#include "rgb_matrix_animations/raindrops_anim.h"
+#include "rgb_matrix_animations/jellybean_raindrops_anim.h"
+#include "rgb_matrix_animations/typing_heatmap_anim.h"
+#include "rgb_matrix_animations/digital_rain_anim.h"
+#include "rgb_matrix_animations/solid_reactive_simple_anim.h"
+#include "rgb_matrix_animations/solid_reactive_anim.h"
+#include "rgb_matrix_animations/solid_reactive_wide.h"
+#include "rgb_matrix_animations/solid_reactive_cross.h"
+#include "rgb_matrix_animations/solid_reactive_nexus.h"
+#include "rgb_matrix_animations/splash_anim.h"
+#include "rgb_matrix_animations/solid_splash_anim.h"
index ba2cea15e32f549fa8bc34c738463a1336e39f03..9376425598759ecd7d6c609ba2d0b635fdabbf93 100644 (file)
@@ -1,9 +1,7 @@
-#pragma once
+RGB_MATRIX_EFFECT(SOLID_COLOR)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-
-bool rgb_matrix_solid_color(effect_params_t* params) {
+bool SOLID_COLOR(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { rgb_matrix_config.hue, rgb_matrix_config.sat, rgb_matrix_config.val };
@@ -14,3 +12,5 @@ bool rgb_matrix_solid_color(effect_params_t* params) {
   }
   return led_max < DRIVER_LED_TOTAL;
 }
+
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
index c3dba8a5af900d6549fcae38c1242a2b0673bbc4..37e339907a68f9c920b7f37c6a121aa047d0404c 100644 (file)
@@ -1,12 +1,9 @@
-#pragma once
-#if defined(RGB_MATRIX_KEYREACTIVE_ENABLED)
+#ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
 #ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE
+RGB_MATRIX_EFFECT(SOLID_REACTIVE)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-extern last_hit_t g_last_hit_tracker;
-
-bool rgb_matrix_solid_reactive(effect_params_t* params) {
+bool SOLID_REACTIVE(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { rgb_matrix_config.hue, 255, rgb_matrix_config.val };
@@ -32,5 +29,6 @@ bool rgb_matrix_solid_reactive(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
-#endif // DISABLE_RGB_MATRIX_RAINBOW_MOVING_CHEVRON
-#endif // defined(RGB_MATRIX_KEYREACTIVE_ENABLED)
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
+#endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE
+#endif // RGB_MATRIX_KEYREACTIVE_ENABLED
index 8858f71e608f3124966ffd7343e59d6629130972..62210f82d625b24404ca7cf4382b1d7b7ffddc06 100644 (file)
@@ -1,10 +1,15 @@
-#pragma once
 #ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
 #if !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_CROSS) || !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTICROSS)
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-extern last_hit_t g_last_hit_tracker;
+#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_CROSS
+RGB_MATRIX_EFFECT(SOLID_REACTIVE_CROSS)
+#endif
+
+#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTICROSS
+RGB_MATRIX_EFFECT(SOLID_REACTIVE_MULTICROSS)
+#endif
+
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 static bool rgb_matrix_solid_reactive_multicross_range(uint8_t start, effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
@@ -38,13 +43,14 @@ static bool rgb_matrix_solid_reactive_multicross_range(uint8_t start, effect_par
   return led_max < DRIVER_LED_TOTAL;
 }
 
-bool rgb_matrix_solid_reactive_multicross(effect_params_t* params) {
+bool SOLID_REACTIVE_MULTICROSS(effect_params_t* params) {
   return rgb_matrix_solid_reactive_multicross_range(0, params);
 }
 
-bool rgb_matrix_solid_reactive_cross(effect_params_t* params) {
+bool SOLID_REACTIVE_CROSS(effect_params_t* params) {
   return rgb_matrix_solid_reactive_multicross_range(qsub8(g_last_hit_tracker.count, 1), params);
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_CROSS) || !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTICROSS)
 #endif // RGB_MATRIX_KEYREACTIVE_ENABLED
index c0e3c2450796ff820b3bf9413b149c8d95c22a1e..33f478ac704f7067215fc8e6a7c8dde7b5d29c41 100644 (file)
@@ -1,10 +1,15 @@
-#pragma once
 #ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
 #if !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_NEXUS) || !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS)
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-extern last_hit_t g_last_hit_tracker;
+#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_NEXUS
+RGB_MATRIX_EFFECT(SOLID_REACTIVE_NEXUS)
+#endif
+
+#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS
+RGB_MATRIX_EFFECT(SOLID_REACTIVE_MULTINEXUS)
+#endif
+
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 static bool rgb_matrix_solid_reactive_multinexus_range(uint8_t start, effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
@@ -36,13 +41,14 @@ static bool rgb_matrix_solid_reactive_multinexus_range(uint8_t start, effect_par
   return led_max < DRIVER_LED_TOTAL;
 }
 
-bool rgb_matrix_solid_reactive_multinexus(effect_params_t* params) {
+bool SOLID_REACTIVE_MULTINEXUS(effect_params_t* params) {
   return rgb_matrix_solid_reactive_multinexus_range(0, params);
 }
 
-bool rgb_matrix_solid_reactive_nexus(effect_params_t* params) {
+bool SOLID_REACTIVE_NEXUS(effect_params_t* params) {
   return rgb_matrix_solid_reactive_multinexus_range(qsub8(g_last_hit_tracker.count, 1), params);
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_NEXUS) || !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTINEXUS)
 #endif // RGB_MATRIX_KEYREACTIVE_ENABLED
index abc7e36a89347d59638e4a393ca495d0e5679b03..a568a543865649761ad685a17e11981f3dcb1a92 100644 (file)
@@ -1,12 +1,10 @@
 #pragma once
 #ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
 #ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_SIMPLE
+RGB_MATRIX_EFFECT(SOLID_REACTIVE_SIMPLE)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-extern last_hit_t g_last_hit_tracker;
-
-bool rgb_matrix_solid_reactive_simple(effect_params_t* params) {
+bool SOLID_REACTIVE_SIMPLE(effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
 
   HSV hsv = { rgb_matrix_config.hue, rgb_matrix_config.sat, 0 };
@@ -31,5 +29,6 @@ bool rgb_matrix_solid_reactive_simple(effect_params_t* params) {
   return led_max < DRIVER_LED_TOTAL;
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // DISABLE_RGB_MATRIX_SOLID_REACTIVE_SIMPLE
 #endif // RGB_MATRIX_KEYREACTIVE_ENABLED
index 3d1d38e8060cca73a09795d94612aafc79347c0e..ff0f6f5ecab7e704fe0a5d7b254e3b9879c34a58 100644 (file)
@@ -1,10 +1,15 @@
-#pragma once
 #ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
 #if !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_WIDE) || !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE)
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-extern last_hit_t g_last_hit_tracker;
+#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_WIDE
+RGB_MATRIX_EFFECT(SOLID_REACTIVE_WIDE)
+#endif
+
+#ifndef DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE
+RGB_MATRIX_EFFECT(SOLID_REACTIVE_MULTIWIDE)
+#endif
+
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 static bool rgb_matrix_solid_reactive_multiwide_range(uint8_t start, effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
@@ -30,13 +35,14 @@ static bool rgb_matrix_solid_reactive_multiwide_range(uint8_t start, effect_para
   return led_max < DRIVER_LED_TOTAL;
 }
 
-bool rgb_matrix_solid_reactive_multiwide(effect_params_t* params) {
+bool SOLID_REACTIVE_MULTIWIDE(effect_params_t* params) {
   return rgb_matrix_solid_reactive_multiwide_range(0, params);
 }
 
-bool rgb_matrix_solid_reactive_wide(effect_params_t* params) {
+bool SOLID_REACTIVE_WIDE(effect_params_t* params) {
   return rgb_matrix_solid_reactive_multiwide_range(qsub8(g_last_hit_tracker.count, 1), params);
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_WIDE) || !defined(DISABLE_RGB_MATRIX_SOLID_REACTIVE_MULTIWIDE)
 #endif // RGB_MATRIX_KEYREACTIVE_ENABLED
index 4e5565d0d3290dd79ea84ace22fad1c50c2920a8..d439bd8880d1425590c17307bd79096ab3122831 100644 (file)
@@ -1,10 +1,15 @@
-#pragma once
 #ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
 #if !defined(DISABLE_RGB_MATRIX_SOLID_SPLASH) || !defined(DISABLE_RGB_MATRIX_SOLID_MULTISPLASH)
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-extern last_hit_t g_last_hit_tracker;
+#ifndef DISABLE_RGB_MATRIX_SOLID_SPLASH
+RGB_MATRIX_EFFECT(SOLID_SPLASH)
+#endif
+
+#ifndef DISABLE_RGB_MATRIX_SOLID_MULTISPLASH
+RGB_MATRIX_EFFECT(SOLID_MULTISPLASH)
+#endif
+
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 static bool rgb_matrix_solid_multisplash_range(uint8_t start, effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
@@ -30,13 +35,14 @@ static bool rgb_matrix_solid_multisplash_range(uint8_t start, effect_params_t* p
   return led_max < DRIVER_LED_TOTAL;
 }
 
-bool rgb_matrix_solid_multisplash(effect_params_t* params) {
+bool SOLID_MULTISPLASH(effect_params_t* params) {
   return rgb_matrix_solid_multisplash_range(0, params);
 }
 
-bool rgb_matrix_solid_splash(effect_params_t* params) {
+bool SOLID_SPLASH(effect_params_t* params) {
   return rgb_matrix_solid_multisplash_range(qsub8(g_last_hit_tracker.count, 1), params);
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // !defined(DISABLE_RGB_MATRIX_SPLASH) && !defined(DISABLE_RGB_MATRIX_MULTISPLASH)
 #endif // RGB_MATRIX_KEYREACTIVE_ENABLED
index fbe7761117beb4ffe204aea076faa3b115a0622c..214dab68dad46bb433a10544c13fa5c0da9131cb 100644 (file)
@@ -1,10 +1,16 @@
-#pragma once
 #ifdef RGB_MATRIX_KEYREACTIVE_ENABLED
 #if !defined(DISABLE_RGB_MATRIX_SPLASH) || !defined(DISABLE_RGB_MATRIX_MULTISPLASH)
 
-extern led_config_t g_led_config;
-extern rgb_config_t rgb_matrix_config;
-extern last_hit_t g_last_hit_tracker;
+#ifndef DISABLE_RGB_MATRIX_SPLASH
+RGB_MATRIX_EFFECT(SPLASH)
+#endif
+
+#ifndef DISABLE_RGB_MATRIX_MULTISPLASH
+RGB_MATRIX_EFFECT(MULTISPLASH)
+#endif
+
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
+
 
 static bool rgb_matrix_multisplash_range(uint8_t start, effect_params_t* params) {
   RGB_MATRIX_USE_LIMITS(led_min, led_max);
@@ -32,13 +38,14 @@ static bool rgb_matrix_multisplash_range(uint8_t start, effect_params_t* params)
   return led_max < DRIVER_LED_TOTAL;
 }
 
-bool rgb_matrix_multisplash(effect_params_t* params) {
+bool MULTISPLASH(effect_params_t* params) {
   return rgb_matrix_multisplash_range(0, params);
 }
 
-bool rgb_matrix_splash(effect_params_t* params) {
+bool SPLASH(effect_params_t* params) {
   return rgb_matrix_multisplash_range(qsub8(g_last_hit_tracker.count, 1), params);
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // !defined(DISABLE_RGB_MATRIX_SPLASH) || !defined(DISABLE_RGB_MATRIX_MULTISPLASH)
 #endif // RGB_MATRIX_KEYREACTIVE_ENABLED
index aade53fccc185171db0ce5b573ddfcc0ad7496e1..e6b34717b2f620115b459928ec75d59e9688ba20 100644 (file)
@@ -1,8 +1,6 @@
-#pragma once
 #if defined(RGB_MATRIX_FRAMEBUFFER_EFFECTS) && !defined(DISABLE_RGB_MATRIX_TYPING_HEATMAP)
-
-extern rgb_config_t rgb_matrix_config;
-extern uint8_t rgb_frame_buffer[MATRIX_ROWS][MATRIX_COLS];
+RGB_MATRIX_EFFECT(TYPING_HEATMAP)
+#ifdef RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 
 void process_rgb_matrix_typing_heatmap(keyrecord_t *record) {
     uint8_t row = record->event.key.row;
@@ -35,7 +33,7 @@ void process_rgb_matrix_typing_heatmap(keyrecord_t *record) {
     }
 }
 
-bool rgb_matrix_typing_heatmap(effect_params_t* params) {
+bool TYPING_HEATMAP(effect_params_t* params) {
   // Modified version of RGB_MATRIX_USE_LIMITS to work off of matrix row / col size
   uint8_t led_min = RGB_MATRIX_LED_PROCESS_LIMIT * params->iter;
   uint8_t led_max = led_min + RGB_MATRIX_LED_PROCESS_LIMIT;
@@ -72,4 +70,5 @@ bool rgb_matrix_typing_heatmap(effect_params_t* params) {
   return led_max < sizeof(rgb_frame_buffer);
 }
 
+#endif // RGB_MATRIX_CUSTOM_EFFECT_IMPLS
 #endif // defined(RGB_MATRIX_FRAMEBUFFER_EFFECTS) && !defined(DISABLE_RGB_MATRIX_TYPING_HEATMAP)
index ea067a7439a16c62e7d8a4ee5c532125c3c57b98..42dfccbc50f04405326c53941e209c30e299bfcc 100644 (file)
@@ -27,8 +27,6 @@ led_instruction_t led_instructions[] = { { .end = 1 } };
 static void led_matrix_massdrop_config_override(int i);
 #endif // USE_MASSDROP_CONFIGURATOR
 
-extern rgb_config_t rgb_matrix_config;
-extern rgb_counters_t g_rgb_counters;
 
 void SERCOM1_0_Handler( void )
 {
@@ -431,7 +429,6 @@ static void led_run_pattern(led_setup_t *f, float* ro, float* go, float* bo, flo
     }
 }
 
-extern led_config_t g_led_config;
 static void led_matrix_massdrop_config_override(int i)
 {
     float ro = 0;
index 38e86ae0ea797d3b5dea6aafed73594374731992..9e19747fa330cfe79da7258a8bd99b7f2357c635 100644 (file)
@@ -5,8 +5,6 @@
 #if defined(RGBLIGHT_ENABLE)
 extern rgblight_config_t rgblight_config;
 bool has_initialized;
-#elif defined(RGB_MATRIX_ENABLE)
-extern rgb_config_t rgb_matrix_config;
 #endif
 
 #ifdef RGBLIGHT_ENABLE