]> git.donarmstrong.com Git - kiibohd-controller.git/blobdiff - Output/pjrcUSB/arm/usb_dev.c
Merge branch 'master' of github.com:kiibohd/controller
[kiibohd-controller.git] / Output / pjrcUSB / arm / usb_dev.c
index 303975753dd511c898b05bb6c79b38b755479897..c0e45662a816ae1f11fb4cf5252aa0df4987011f 100644 (file)
@@ -1,7 +1,7 @@
 /* Teensyduino Core Library
  * http://www.pjrc.com/teensy/
  * Copyright (c) 2013 PJRC.COM, LLC.
- * Modifications by Jacob Alexander (2013-2014)
+ * Modifications by Jacob Alexander (2013-2016)
  *
  * Permission is hereby granted, free of charge, to any person obtaining
  * a copy of this software and associated documentation files (the
@@ -34,6 +34,7 @@
 // Project Includes
 #include <Lib/OutputLib.h>
 #include <print.h>
+#include <kll_defs.h>
 
 // Local Includes
 #include "usb_dev.h"
@@ -167,12 +168,18 @@ volatile uint8_t usb_reboot_timer = 0;
 
 static uint8_t reply_buffer[8];
 
+static uint8_t power_neg_delay;
+static uint32_t power_neg_time;
+
 
 
 // ----- Functions -----
 
 static void endpoint0_stall()
 {
+       #ifdef UART_DEBUG_UNKNOWN
+       print("STALL" NL );
+       #endif
        USB0_ENDPT0 = USB_ENDPT_EPSTALL | USB_ENDPT_EPRXEN | USB_ENDPT_EPTXEN | USB_ENDPT_EPHSHK;
 }
 
@@ -184,6 +191,34 @@ static void endpoint0_transmit( const void *data, uint32_t len )
        ep0_tx_bdt_bank ^= 1;
 }
 
+// Used to check any USB state changes that may not have a proper interrupt
+// Called once per scan loop, should take minimal processing time or it may affect other modules
+void usb_device_check()
+{
+       // Check to see if we're still waiting for the next USB request after Get Configuration Descriptor
+       // If still waiting, restart the USB initialization with a lower power requirement
+       if ( power_neg_delay )
+       {
+               // Check if 100 ms has elapsed
+               if ( systick_millis_count - power_neg_time > 100 )
+               {
+                       // Update bMaxPower
+                       // The value set is in increments of 2 mA
+                       // So 50 * 2 mA = 100 mA
+                       // XXX Currently only transitions to 100 mA
+                       //     It may be possible to transition down again to 20 mA
+                       *usb_bMaxPower = 50;
+
+                       // Re-initialize USB
+                       power_neg_delay = 0;
+                       usb_configuration = 0; // Clear USB configuration if we have one
+                       USB0_CONTROL = 0; // Disable D+ Pullup to simulate disconnect
+                       delay(10); // Delay is necessary to simulate disconnect
+                       usb_init();
+               }
+       }
+}
+
 static void usb_setup()
 {
        const uint8_t *data = NULL;
@@ -195,17 +230,30 @@ static void usb_setup()
        const uint8_t *cfg;
        int i;
 
+       // If another request is made, disable the power negotiation check
+       // See GET_DESCRIPTOR - Configuration
+       if ( power_neg_delay )
+       {
+               power_neg_delay = 0;
+       }
+
        switch ( setup.wRequestAndType )
        {
        case 0x0500: // SET_ADDRESS
-               break;
+               goto send;
+
        case 0x0900: // SET_CONFIGURATION
                #ifdef UART_DEBUG
                print("CONFIGURE - ");
                #endif
                usb_configuration = setup.wValue;
+               Output_Available = usb_configuration;
                reg = &USB0_ENDPT1;
                cfg = usb_endpoint_config_table;
+
+               // Now configured so we can utilize bMaxPower now
+               Output_update_usb_current( *usb_bMaxPower * 2 );
+
                // clear all BDT entries, free any allocated memory...
                for ( i = 4; i < ( NUM_ENDPOINTS + 1) * 4; i++ )
                {
@@ -287,20 +335,23 @@ static void usb_setup()
                        table[ index( i, TX, EVEN ) ].desc = 0;
                        table[ index( i, TX, ODD ) ].desc = 0;
                }
-               break;
+               goto send;
+
        case 0x0880: // GET_CONFIGURATION
                reply_buffer[0] = usb_configuration;
                datalen = 1;
                data = reply_buffer;
-               break;
+               goto send;
+
        case 0x0080: // GET_STATUS (device)
                reply_buffer[0] = 0;
                reply_buffer[1] = 0;
                datalen = 2;
                data = reply_buffer;
-               break;
+               goto send;
+
        case 0x0082: // GET_STATUS (endpoint)
-               if (setup.wIndex > NUM_ENDPOINTS)
+               if ( setup.wIndex > NUM_ENDPOINTS )
                {
                        // TODO: do we need to handle IN vs OUT here?
                        endpoint0_stall();
@@ -312,18 +363,72 @@ static void usb_setup()
                        reply_buffer[0] = 1;
                data = reply_buffer;
                datalen = 2;
-               break;
+               goto send;
+
+       case 0x0100: // CLEAR_FEATURE (device)
+               switch ( setup.wValue )
+               {
+               // CLEAR_FEATURE(DEVICE_REMOTE_WAKEUP)
+               // See SET_FEATURE(DEVICE_REMOTE_WAKEUP) for details
+               case 0x1:
+                       goto send;
+               }
+
+               warn_msg("SET_FEATURE - Device wValue(");
+               printHex( setup.wValue );
+               print( ")" NL );
+               endpoint0_stall();
+               return;
+
+       case 0x0101: // CLEAR_FEATURE (interface)
+               // TODO: Currently ignoring, perhaps useful? -HaaTa
+               warn_msg("CLEAR_FEATURE - Interface wValue(");
+               printHex( setup.wValue );
+               print(") wIndex(");
+               printHex( setup.wIndex );
+               print( ")" NL );
+               endpoint0_stall();
+               return;
+
        case 0x0102: // CLEAR_FEATURE (endpoint)
                i = setup.wIndex & 0x7F;
                if ( i > NUM_ENDPOINTS || setup.wValue != 0 )
                {
-                       // TODO: do we need to handle IN vs OUT here?
                        endpoint0_stall();
                        return;
                }
                (*(uint8_t *)(&USB0_ENDPT0 + setup.wIndex * 4)) &= ~0x02;
                // TODO: do we need to clear the data toggle here?
-               break;
+               goto send;
+
+       case 0x0300: // SET_FEATURE (device)
+               switch ( setup.wValue )
+               {
+               // SET_FEATURE(DEVICE_REMOTE_WAKEUP)
+               // XXX: Only used to confirm Remote Wake
+               //      Used on Mac OSX and Windows not on Linux
+               // Good post on the behaviour:
+               // http://community.silabs.com/t5/8-bit-MCU/Remote-wakeup-HID/m-p/74957#M30802
+               case 0x1:
+                       goto send;
+               }
+
+               warn_msg("SET_FEATURE - Device wValue(");
+               printHex( setup.wValue );
+               print( ")" NL );
+               endpoint0_stall();
+               return;
+
+       case 0x0301: // SET_FEATURE (interface)
+               // TODO: Currently ignoring, perhaps useful? -HaaTa
+               warn_msg("SET_FEATURE - Interface wValue(");
+               printHex( setup.wValue );
+               print(") wIndex(");
+               printHex( setup.wIndex );
+               print( ")" NL );
+               endpoint0_stall();
+               return;
+
        case 0x0302: // SET_FEATURE (endpoint)
                i = setup.wIndex & 0x7F;
                if ( i > NUM_ENDPOINTS || setup.wValue != 0 )
@@ -334,7 +439,8 @@ static void usb_setup()
                }
                (*(uint8_t *)(&USB0_ENDPT0 + setup.wIndex * 4)) |= 0x02;
                // TODO: do we need to clear the data toggle here?
-               break;
+               goto send;
+
        case 0x0680: // GET_DESCRIPTOR
        case 0x0681:
                #ifdef UART_DEBUG
@@ -360,6 +466,27 @@ static void usb_setup()
                                {
                                        datalen = list->length;
                                }
+
+                               // XXX Power negotiation hack -HaaTa
+                               // Some devices such as the Apple Ipad do not support bMaxPower greater than 100 mA
+                               // However, there is no provision in the basic USB 2.0 stack for power negotiation
+                               // To get around this:
+                               //  * Attempt to set bMaxPower to 500 mA first
+                               //  * If more than 100 ms passes since retrieving a Get Configuration Descriptor
+                               //    (Descriptor with bMaxPower in it)
+                               //  * Change usb_bMaxPower to 50 (100 mA)
+                               //  * Restart the USB init process
+                               // According to notes online, it says that some Apple devices can only do 20 mA
+                               // However, in my testing this hasn't been the case
+                               // (you can also draw as much current as you want if you just lie in the descriptor :P)
+                               // If this becomes an issue we can use this hack a second time to negotiate down to 20 mA
+                               // (which should be fine for just the mcu)
+                               if ( setup.wValue == 0x0200 && setup.wIndex == 0x0 )
+                               {
+                                       power_neg_delay = 1;
+                                       power_neg_time = systick_millis_count;
+                               }
+
                                #if UART_DEBUG
                                print("Desc found, ");
                                printHex32( (uint32_t)data );
@@ -386,8 +513,7 @@ static void usb_setup()
        case 0x2221: // CDC_SET_CONTROL_LINE_STATE
                usb_cdc_line_rtsdtr = setup.wValue;
                //serial_print("set control line state\n");
-               endpoint0_stall();
-               return;
+               goto send;
 
        case 0x21A1: // CDC_GET_LINE_CODING
                data = (uint8_t*)usb_cdc_line_coding;
@@ -397,30 +523,50 @@ static void usb_setup()
        case 0x2021: // CDC_SET_LINE_CODING
                // XXX Needed?
                //serial_print("set coding, waiting...\n");
-               endpoint0_stall();
-               return; // Cannot stall here (causes issues)
+               return;
 
        case 0x0921: // HID SET_REPORT
-               #ifdef UART_DEBUG
-               print("SET_REPORT - ");
-               printHex( setup.wValue );
-               print(" - ");
-               printHex( setup.wValue & 0xFF );
-               print( NL );
-               #endif
-               USBKeys_LEDs = setup.wValue & 0xFF;
-               endpoint0_stall();
+               // Interface
+               switch ( setup.wIndex & 0xFF )
+               {
+               // Keyboard Interface
+               case KEYBOARD_INTERFACE:
+                       break;
+               // NKRO Keyboard Interface
+               case NKRO_KEYBOARD_INTERFACE:
+                       break;
+               default:
+                       warn_msg("Unknown interface - ");
+                       printHex( setup.wIndex );
+                       print( NL );
+                       endpoint0_stall();
+                       break;
+               }
+
                return;
 
        case 0x01A1: // HID GET_REPORT
                #ifdef UART_DEBUG
                print("GET_REPORT - ");
-               printHex( USBKeys_LEDs );
+               printHex( setup.wIndex );
                print(NL);
                #endif
-               data = (uint8_t*)&USBKeys_LEDs;
-               datalen = 1;
-               goto send;
+               // Search through descriptors returning necessary info
+               for ( list = usb_descriptor_list; 1; list++ )
+               {
+                       if ( list->addr == NULL )
+                               break;
+                       if ( list->wValue != 0x2200 )
+                               continue;
+                       if ( setup.wIndex == list->wIndex )
+                       {
+                               data = list->addr;
+                               datalen = list->length;
+                               goto send;
+                       }
+                }
+                endpoint0_stall();
+                return;
 
        case 0x0A21: // HID SET_IDLE
                #ifdef UART_DEBUG
@@ -430,8 +576,7 @@ static void usb_setup()
                #endif
                USBKeys_Idle_Config = (setup.wValue >> 8);
                USBKeys_Idle_Count = 0;
-               endpoint0_stall();
-               return;
+               goto send;
 
        case 0x0B21: // HID SET_PROTOCOL
                #ifdef UART_DEBUG
@@ -442,8 +587,7 @@ static void usb_setup()
                print(NL);
                #endif
                USBKeys_Protocol = setup.wValue & 0xFF; // 0 - Boot Mode, 1 - NKRO Mode
-               endpoint0_stall();
-               return;
+               goto send;
 
        // case 0xC940:
        default:
@@ -457,10 +601,16 @@ static void usb_setup()
 send:
        #ifdef UART_DEBUG
        print("setup send ");
-       printHex32((uint32_t)data);
+       printHex32( (uint32_t)data );
        print(",");
-       printHex(datalen);
-       print(NL);
+       for ( uint8_t c = 0; c < datalen; c++ )
+       {
+               printHex( data[c] );
+               print(" ");
+       }
+       print(",");
+       printHex( datalen );
+       print( NL );
        #endif
 
        if ( datalen > setup.wLength )
@@ -570,6 +720,10 @@ static void usb_control( uint32_t stat )
                printHex(setup.wIndex);
                print(", len:");
                printHex(setup.wLength);
+               print(" -- ");
+               printHex32(setup.word1);
+               print(" ");
+               printHex32(setup.word2);
                print(NL);
                #endif
                // actually "do" the setup request
@@ -577,11 +731,25 @@ static void usb_control( uint32_t stat )
                // unfreeze the USB, now that we're ready
                USB0_CTL = USB_CTL_USBENSOFEN; // clear TXSUSPENDTOKENBUSY bit
                break;
+
        case 0x01:  // OUT transaction received from host
        case 0x02:
-               #ifdef UART_DEBUG
-               print("PID=OUT"NL);
+               #ifdef UART_DEBUG_UNKNOWN
+               print("PID=OUT wRequestAndType:");
+               printHex(setup.wRequestAndType);
+               print(", wValue:");
+               printHex(setup.wValue);
+               print(", wIndex:");
+               printHex(setup.wIndex);
+               print(", len:");
+               printHex(setup.wLength);
+               print(" -- ");
+               printHex32(setup.word1);
+               print(" ");
+               printHex32(setup.word2);
+               print(NL);
                #endif
+
                // CDC Interface
                if ( setup.wRequestAndType == 0x2021 /*CDC_SET_LINE_CODING*/ )
                {
@@ -600,17 +768,38 @@ static void usb_control( uint32_t stat )
                        endpoint0_transmit( NULL, 0 );
                }
 
-               // Keyboard Interface
-               if ( setup.word1 == 0x02000921 && setup.word2 == ( (1<<16) | KEYBOARD_INTERFACE ) )
-               {
-                       USBKeys_LEDs = buf[0];
-                       endpoint0_transmit( NULL, 0 );
-               }
-               // NKRO Keyboard Interface
-               if ( setup.word1 == 0x02000921 && setup.word2 == ( (1<<16) | NKRO_KEYBOARD_INTERFACE ) )
+               // Keyboard SET_REPORT
+               if ( setup.wRequestAndType == 0x921 && setup.wValue & 0x200 )
                {
-                       USBKeys_LEDs = buf[0];
-                       endpoint0_transmit( NULL, 0 );
+                       // Interface
+                       switch ( setup.wIndex & 0xFF )
+                       {
+                       // Keyboard Interface
+                       case KEYBOARD_INTERFACE:
+                               USBKeys_LEDs = buf[0];
+                               endpoint0_transmit( NULL, 0 );
+                               break;
+                       // NKRO Keyboard Interface
+                       case NKRO_KEYBOARD_INTERFACE:
+                               // Only use 2nd byte, first byte is the report id
+                               USBKeys_LEDs = buf[1];
+                               endpoint0_transmit( NULL, 0 );
+                               break;
+                       default:
+                               warn_msg("Unknown interface - ");
+                               printHex( setup.wIndex );
+                               print( NL );
+                               break;
+                       }
+
+                       #ifdef UART_DEBUG
+                       for ( size_t len = 0; len < setup.wLength; len++ )
+                       {
+                               printHex( buf[ len ] );
+                               print(" ");
+                       }
+                       print( NL );
+                       #endif
                }
 
                // give the buffer back
@@ -648,6 +837,7 @@ static void usb_control( uint32_t stat )
                }
 
                break;
+
        default:
                #ifdef UART_DEBUG
                print("PID=unknown:");
@@ -774,6 +964,11 @@ void usb_rx_memory( usb_packet_t *packet )
 
 void usb_tx( uint32_t endpoint, usb_packet_t *packet )
 {
+       // Since we are transmitting data, USB will be brought out of sleep/suspend
+       // if it's in that state
+       // Use the currently set descriptor value
+       Output_update_usb_current( *usb_bMaxPower * 2 );
+
        bdt_t *b = &table[ index( endpoint, TX, EVEN ) ];
        uint8_t next;
 
@@ -824,28 +1019,12 @@ void usb_tx( uint32_t endpoint, usb_packet_t *packet )
 void usb_device_reload()
 {
 // MCHCK
-#if defined(_mk20dx128vlf5_)
-
-       // MCHCK Kiibohd Variant
-       // Check to see if PTA3 (has a pull-up) is connected to GND (usually via jumper)
-       // Only allow reload if the jumper is present (security)
-       GPIOA_PDDR &= ~(1<<3); // Input
-       PORTA_PCR3 = PORT_PCR_PFE | PORT_PCR_MUX(1); // Internal pull-up
-
-       // Check for jumper
-       if ( GPIOA_PDIR & (1<<3) )
-       {
-               print( NL );
-               warn_print("Security jumper not present, cancelling firmware reload...");
-               info_msg("Replace jumper on middle 2 pins, or manually press the firmware reload button.");
-       }
-       else
-       {
-               // Copies variable into the VBAT register, must be identical to the variable in the bootloader to jump to the bootloader flash mode
-               for ( int pos = 0; pos < sizeof(sys_reset_to_loader_magic); pos++ )
-                       (&VBAT)[ pos ] = sys_reset_to_loader_magic[ pos ];
-               SOFTWARE_RESET();
-       }
+// Kiibohd mk20dx256vlh7
+#if defined(_mk20dx128vlf5_) || defined(_mk20dx256vlh7_)
+       // Copies variable into the VBAT register, must be identical to the variable in the bootloader to jump to the bootloader flash mode
+       for ( int pos = 0; pos < sizeof(sys_reset_to_loader_magic); pos++ )
+               (&VBAT)[ pos ] = sys_reset_to_loader_magic[ pos ];
+       SOFTWARE_RESET();
 
 // Teensy 3.0 and 3.1
 #else
@@ -966,8 +1145,8 @@ restart:
                                                break;
                                        default:
                                                tx_state[ endpoint ] = ((uint32_t)b & 8)
-                                                 ? TX_STATE_ODD_FREE
-                                                 : TX_STATE_EVEN_FREE;
+                                                       ? TX_STATE_ODD_FREE
+                                                       : TX_STATE_EVEN_FREE;
                                                break;
                                        }
                                }
@@ -1089,9 +1268,12 @@ restart:
                USB0_ISTAT = USB_ISTAT_ERROR;
        }
 
+       // USB Host signalling device to enter 'sleep' state
+       // The USB Module triggers this interrupt when it detects the bus has been idle for 3 ms
        if ( (status & USB_ISTAT_SLEEP /* 10 */ ) )
        {
-               //serial_print("sleep\n");
+               info_print("Host has requested USB sleep/suspend state");
+               Output_update_usb_current( 100 ); // Set to 100 mA
                USB0_ISTAT = USB_ISTAT_SLEEP;
        }
 }
@@ -1104,11 +1286,6 @@ uint8_t usb_init()
        print("USB INIT"NL);
        #endif
 
-       // If no USB cable is attached, do not initialize usb
-       // XXX Test -HaaTa
-       //if ( USB0_OTGISTAT & USB_OTGSTAT_ID )
-       //      return 0;
-
        // Clear out endpoints table
        for ( int i = 0; i <= NUM_ENDPOINTS * 4; i++ )
        {
@@ -1153,6 +1330,9 @@ uint8_t usb_init()
        // enable d+ pullup
        USB0_CONTROL = USB_CONTROL_DPPULLUPNONOTG;
 
+       // Do not check for power negotiation delay until Get Configuration Descriptor
+       power_neg_delay = 0;
+
        return 1;
 }