X-Git-Url: https://git.donarmstrong.com/?a=blobdiff_plain;f=quantum%2Fdebounce.h;h=9ca05c6824ad4f9319bd629e3dd8cb7053036677;hb=3538955778c253e68779605cc67c27e15d195729;hp=360af77e781831ff9d89f4f9d1e7f9e27c4402f0;hpb=3542e573c8ee464f62fc5e9a0f618d3a244048c0;p=qmk_firmware.git diff --git a/quantum/debounce.h b/quantum/debounce.h index 360af77e7..9ca05c682 100644 --- a/quantum/debounce.h +++ b/quantum/debounce.h @@ -8,4 +8,4 @@ void debounce(matrix_row_t raw[], matrix_row_t cooked[], uint8_t num_rows, bool bool debounce_active(void); -void debounce_init(uint8_t num_rows); \ No newline at end of file +void debounce_init(uint8_t num_rows);